My Second FPGA for Altera DE2-115 Board 數位電路實驗 TA: 吳柏辰 Author: Trumen.

Slides:



Advertisements
Similar presentations
DE2-115 Control Panel - Part I
Advertisements

Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
My First Nios II for Altera DE2-115 Board 數位電路實驗 TA: 吳柏辰 Author: Trumen.
A NoC Generation and Evaluation Framework
Altera’s Quartus II Installation, usage and tutorials Gopi Tummala Lab/Office Hours : Friday 2:00 PM to.
King Fahd University of Petroleum and Minerals Computer Engineering Department COE 561 Digital Systems Design and Synthesis (Course Activity) Synthesis.
TELL1 VHDL Framework By Aditya Mittal. Scenario Block Diagram
Downloading to Altera Nios Development Kit CSCE 488 Witawas Srisa-an.
ECE Department: University of Massachusetts, Amherst Lab 1: Introduction to NIOS II Hardware Development.
Altera DE2 Board and Quartus II Software ECE 3450 M. A. Jupina, VU, 2014.
CSCE 430/830 A Tutorial of Project Tools By Dongyuan Zhan Feb. 4, 2010.
Foundation and XACTstepTM Software
DE2-115 Control Panel - Part II
Figure 1.1 The Altera UP 3 FPGA Development board
16x2 LCD Module on DE2-115 數位電路實驗 TA: 吳柏辰 Author: Trumen.
Introduction to FPGA Design Illustrating the FPGA design process using Quartus II design software and the Cyclone II FPGA Starter Board. Physics 536 –
1 - ECpE 583 (Reconfigurable Computing): Tools overview Iowa State University (Ames) CPRE 583 Reconfigurable Computing (Tools overview) Instructor: Dr.
Chapter 4 Programmable Logic Devices: CPLDs with VHDL Design Copyright ©2006 by Pearson Education, Inc. Upper Saddle River, New Jersey All rights.
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
FPGA-Based System Design: Chapter 4 Copyright  2004 Prentice Hall PTR HDL coding n Synthesis vs. simulation semantics n Syntax-directed translation n.
ECE Department: University of Massachusetts, Amherst Using Altera CAD tools for NIOS Development.
Mentor Tools tutorial Bold Browser Design Manager Design Architect Library Components Quicksim Creating and Compiling the VHDL Model.
Ch.9 CPLD/FPGA Design TAIST ICTES Program VLSI Design Methodology Hiroaki Kunieda Tokyo Institute of Technology.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Simulink ® Interface Course 13 Active-HDL Interfaces.
GBT Interface Card for a Linux Computer Carson Teale 1.
© 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Design Flow FPGA Design Flow Workshop.
© 2005 Altera Corporation SOPC Builder: a Design Tool for Rapid System Prototyping on FPGAs Kerry Veenstra Workshop on Architecture Research using FPGA.
HDL Bencher FPGA Design Workshop. For Academic Use Only Presentation Name 2 Objectives After completing this module, you will be able to:  Describe the.
Introduction to VGA 數位電路實驗 TA: 吳柏辰 Author: Trumen.
Copyright © 1997 Altera Corporation 10/21/2015 Third Party EDA Tools Interface with Altera Max+Plus II Danny Mok Altera HK FAE
Interfaces to External EDA Tools Debussy Denali SWIFT™ Course 12.
정 용 군 ( 전자공학과 대학원 ) 대상 : VLSI 설계 연구회 1,2,3 학년 기간 : ~ Synopsys Tool 교육 Synopsys 교육 1.
Memory Devices on DE2-115 數位電路實驗 TA: 吳柏辰 Author: Trumen.
This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
Lecture #2 Page 1 ECE 4110– Sequential Logic Design Lecture #2 Agenda 1.Logic Design Tools Announcements 1.n/a.
ECE 545 Project 2 Specification. Schedule of Projects (1) Project 1 RTL design for FPGAs (20 points) Due date: Tuesday, November 22, midnight (firm) Checkpoints:
Introduction to PG & LA 數位電路實驗 TA: 吳柏辰 Author: Trumen.
Programmable Logic Training Course HDL Editor
displayCtrlr Specification
ECE 545 Project 2 Specification. Project 2 (15 points) – due Tuesday, December 19, noon Application: cryptography OR digital signal processing optimized.
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
© 2005 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU HDL Co-Simulation.
Tools - Design Manager - Chapter 6 slide 1 Version 1.5 FPGA Tools Training Class Design Manager.
Quartus II Schematic Design Tutorial Xiangrong Ma
 Seattle Pacific University EE Logic System DesignAlteraBoard-2 Altera Cyclone II (484 Pin BGA) 22 Pins.
COE4OI5 Engineering Design Chapter 1: The 15 minutes design.
CSE/CoE 535 : Attig 1 ModelSim Tutorial for CSE 535 Michael Attig
Introduction to Labs Wenchao Cao, Teaching Assistant Department of EECS University of Tennessee.
Teaching Digital Logic courses with Altera Technology
Ready to Use Programmable Logic Design Solutions.
© 2000 Altera Corporation 1 Quartus Simulator. © 2000 Altera Corporation Dow load from: 2 In This Section Simulator –Features –Supported.
1 VHDL & Verilog Simulator. Modelsim. 2 Change the directory to where your files exist (All of the files must be in a same folder). Modelsim.
1 2/1/99 Confidential Selling Xilinx Software vs. Altera Xilinx Academy February 24th, 1999.
Copyright © 2007 by Pearson Education 1 UNIT 6A COMBINATIONAL CIRCUIT DESIGN WITH VHDL by Gregory L. Moss Click hyperlink below to select: Tutorial for.
How to use ISE Dept. of Info & Comm. Eng. Prof. Jongbok Lee.
Altera Technical Solutions Seminar Schedule OpeningIntroduction FLEX ® 10KE Devices APEX ™ 20K & Quartus ™ Overview Design Integration EDA Integration.
Introduction to Vivado
TODAY’S OUTLINE Introduction to Verilog Verilog coding format
Lab 1: Using NIOS II processor for code execution on FPGA
My Second FPGA for Altera DE2-115 Board
My First Nios II for Altera DE2-115 Board
Programmable Logic Devices: CPLDs and FPGAs with VHDL Design
CPRE 583 Reconfigurable Computing (Tools overview)
Founded in Silicon Valley in 1984
UCSD ECE 111 Prof. Farinaz Koushanfar Fall 2017
THE ECE 554 XILINX DESIGN PROCESS
THE ECE 554 XILINX DESIGN PROCESS
Xilinx Alliance Series
Presentation transcript:

My Second FPGA for Altera DE2-115 Board 數位電路實驗 TA: 吳柏辰 Author: Trumen

Outline DE2-115 System Builder ModelSim-Altera 2

DE2-115 System Builder 3

Introduction to DE2-115 System Builder (1/2) This section describes how users can create a custom design project on the DE2-115 board by using DE2-115 Software Tool DE2-115 System Builder. The DE2-115 System Builder is a Windows based software utility, designed to assist users to create a Quartus II project for the DE2-115 board withim minutes. 4

Introduction to DE2-115 System Builder (2/2) The generated Quartus II projects files include: Quartus II Project File (.qpf) Quartus II Setting File (.qsf) Top-Level Design File (.v) Synopsis Design Constraints file (.sdc) Pin Assignment Document (.htm) 5

General Design Flow 6 Start Launch DE2-115 System Builder.qpf.qsf.v.sdc.htm Create New DE2-115 System Builder Project Generate Quartus II Project and Document Launch Quartus II and Open Project Add User Design/Logic Compile to generate.SOF Configure FPGA End

DE2_115_tools\DE2_115_system_builder.exe Launch DE2-115 System Builder 7

Input Project Name 8 1

System Configuration 9 1

GPIO Expansion 10 1

HSMC Expansion 11 1

Project Setting Management 12 1 Users can save the current board configuration information into a.cfg file and load it to the DE2-115 System Builder 2

Project Generation When users press the Generation buttion, the DE2-115 System Builder will generate the corresponding Quartus II files and documents. 13 No.FilenameDescription 1exp2_rsa.vTop level verilog HDL file for Quartus II 2exp2_rsa.qpfQuartus II Project File 3exp2_rsa.qsfQuartus II Setting File 4exp2_rsa.sdcSynopsis Design Constraints file for Quartus II 5exp2_rsa.htmPin Assignment Document

THDB-HTG Board This figure illustrates how the THDB-HTG board is connected to the DE2-115 board. 14 Be sure to turn off the power whenever you connect or disconnect the THDB-HTG board!!

exp2_rsa.htm (1/2) 15 DE2_115_User_manual.pdf 4.8 Usiing the Expansiion HeaderDE2_115_User_manual.pdf

exp2_rsa.htm (2/2) 16 THDB-HTG_V1.0.3.pdf 1-6 Expansion Prototype Connectors THDB-HTG_V1.0.3.pdf 1-6 Expansion Prototype Connectors

exp2_rsa.sdc 17 Remember to modify the.sdc file. clock, i/o delay, etc. create_clock -period 1000 [get_ports clk] derive_clock_uncertainty set_input_delay 0 -clock clk [all_inputs] set_output_delay 0 -clock clk [all_outputs]

Can't place multiple pins…? 18 If you try to assign pins by yourself, this error message may occur. How to fix it?

19 1 2

20 1

22 1

ModelSim-Altera 23

Introduction to ModelSim (1/5) ModelSim is a simulation and verification tool for VHDL, Verilog, SystemVerilog, and mixed language designs. The following diagram shows the basic steps for simulating a design in ModelSim. 24 Create a working library Compile design files Load and Run simulation Debug results

Introduction to ModelSim (2/5) Creating the Working Library In ModelSim, all designs are compiled into a library. You typically start a new simulation in ModelSim by creating a working library called "work," which is the default library name used by the compiler as the default destination for compiled design units. 25

Introduction to ModelSim (3/5) Compiling Your Design After creating the working library, you compile your design units into it. The ModelSim library format is compatible across all supported platforms. You can simulate your design on any platform without having to recompile your design. 26

Introduction to ModelSim (4/5) Loading the Simulator with Your Design and Running the Simulation With the design compiled, you load the simulator with your design by invoking the simulator on a top-level module (Verilog) or a configuration or entity/architecture pair (VHDL). 27

Introduction to ModelSim (5/5) Debugging Your Results If you don’t get the results you expect, you can use ModelSim’s robust debugging environment to track down the cause of the problem. 28

ModelSim-Altera Altera Quartus II software allows the user to launch Modelsim-Altera simulator from within the software using the Quartus II feature called NativeLink. It facilitates the process of simulation by providing an easy to use mechanism and precompiled libraries for simulation. 29

Setting up EDA Tool Options

Setting Up the Simulation

35 1

Before Simulation… We should compile our design before simulation to generate a simulation snapshot. "Start Analysis & Elaboration" is enough, and it takes much less time than "Start Compilation". And then we can run the simulation. 36

Issues of Working Directory The working directory of ModelSim-Altera is under "(project directory)/simulation/modelsim", so be careful of setting the directory of input data in the testbench. 37

40 Congratulation! Be sure ModelSim-Altera has found the input data!!

If There are Something Wrong… Your simulation takes a long time and seems it will not stop. The calculated result is incorrect. 41

If the Input Date are not Found… 42 It is a fake "PASS"…

Change the Time Unit of the Timeline

Check the Waveform (1/2)

Check the Waveform (2/2) 45 Zoom fullZoom Mode How to see the signals in the design? Just try it!

The End. Any question?

Reference 1. "DE2-115 User manual" by Terasic. 2. "THDB-HTG User Manual" by Terasic. 3. ModelSim® Tutorial by Mentor Graphics Corporation. 4. "Getting Started with Quartus II Simulation Using the ModelSim-Altera Software User Guide" by Altera. 47