ENG2410 Digital Design LAB #6 LAB #6 Sequential Logic Design (Flip Flops)

Slides:



Advertisements
Similar presentations
Basic Finite State Machines 1. 2 Finite State Machines Binary encoded state machines –The number of flip-flops is the smallest number m such that 2 m.
Advertisements

VHDL 5 FINITE STATE MACHINES (FSM) Some pictures are obtained from FPGA Express VHDL Reference Manual, it is accessible from the machines in the lab at.
Tutorial 2 Sequential Logic. Registers A register is basically a D Flip-Flop A D Flip Flop has 3 basic ports. D, Q, and Clock.
Sequential Design ELEC 311 Digital Logic and Circuits Dr. Ron Hayne
©2004 Brooks/Cole FIGURES FOR CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC Click the mouse to move to the next page. Use the ESC key to exit this chapter. This.
Digital Logic with VHDL EE 230 Digital Systems Fall 2006 (10/17/2006)
VHDL 4 Building blocks of a computer VHDL 4 : (ver.5a) 1.
28/10/2007DSD,USIT,GGSIPU1 Latch & Register Inference.
1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
Arbitrary Waveform Discussion 5.5 Example 34.
Edge-Triggered D Flip-Flops Discussion D4.2 Example 26.
Latches and Flip-Flops
1 VLSI DESIGN USING VHDL Part II A workshop by Dr. Junaid Ahmed Zubairi.
2-to-1 Multiplexer: if Statement Discussion D2.1 Example 4.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
Registers VHDL Tutorial R. E. Haskell and D. M. Hanna T2: Sequential Logic Circuits.
ENGIN112 L20: Sequential Circuits: Flip flops October 20, 2003 ENGIN 112 Intro to Electrical and Computer Engineering Lecture 20 Sequential Circuits: Flip.
CS 151 Digital Systems Design Lecture 20 Sequential Circuits: Flip flops.
Latches and Flip-Flops Discussion D4.1 Appendix J.
AND Gate: A Logic circuit whose output is logic ‘1’ if and only if all of its inputs are logic ‘1’.
Components used in the the Project J-K Flip Flop Switch Power Alternator 7-Segment Display Coded Decimal (BCD) Display.
Flip_Flops  Logic circuits are classified ito two groups  1. The combinational logic circuits,using the basic gates AND,OR and NOT.  2. Sequential.
ENG241/ Lab #41 ENG2410 Digital Design LAB #4 Design of Combinational Logic “The Trip Genie”
ENG241 Digital Design Week #6 Sequential Circuits (Part A)
ENG2410 Digital Design LAB #8 LAB #8 Data Path Design.
LAB #2 Xilinix ISE Foundation Tools Schematic Capture “A Tutorial”
Copyright © 1997 Altera Corporation & 提供 What is VHDL Very high speed integrated Hardware Description Language (VHDL) –is.
ENG241 Digital Design Week #8 Registers and Counters.
ENG2410 Digital Design LAB #5 Modular Design and Hierarchy using VHDL.
Copyright © 1997 Altera Corporation 11/20/2015 P.1 Beginner VHDL Training Class Danny Mok Altera HK FAE
ECE 331 – Digital System Design Multiplexers and Demultiplexers (Lecture #13)
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
VHDL Discussion Finite State Machines
1 Sequential Logic Lecture #9. Sequential Logic 2 강의순서  FlipFlop  Active-high Clock & asynchronous Clear  Active-low Clock & asynchronous Clear  Active-high.
CEC 220 Digital Circuit Design Latches and Flip-Flops Monday, March 03 CEC 220 Digital Circuit Design Slide 1 of 19.
ENG241/ Lab #11 ENG2410 Digital Design LAB #1 Introduction Combinational Logic Design.
CEC 220 Digital Circuit Design VHDL in Sequential Logic Wednesday, March 25 CEC 220 Digital Circuit Design Slide 1 of 13.
1 Sequential Logic Lecture #7. 모바일컴퓨팅특강 2 강의순서 Latch FlipFlop Active-high Clock & asynchronous Clear Active-low Clock & asynchronous Clear Active-high.
ENG2410 Digital Design LAB #7 LAB #7 Sequential Logic Design “Sequence Recognizer” Using both Schematic Capture and VHDL.
ENG241 Digital Design Week #7 Sequential Circuits (Part B)
Dept. of Electrical and Computer Eng., NCTU 1 Lab 8. D-type Flip-Flop Presenter: Chun-Hsien Ko Contributors: Chung-Ting Jiang and Lin-Kai Chiu.
ECE DIGITAL LOGIC LECTURE 20: REGISTERS AND COUNTERS Assistant Prof. Fareena Saqib Florida Institute of Technology Fall 2015, 11/19/2015.
July 2, 2001Systems Architecture I1 Systems Architecture II (CS 282) Lab 3: State Elements, Registers, and Memory * Jeremy R. Johnson Monday July 2, 2001.
VHDL 4 Building blocks of a computer VHDL 4 : (ver.6a) 1.
Counters and registers Eng.Maha Alqubali. Registers Registers are groups of flip-flops, where each flip- flop is capable of storing one bit of information.
ECE 332 Digital Electronics and Logic Design Lab Lab 3 Introduction to Starter Kit ECE 332 George Mason University.
LAB #5 Modular Design and Hierarchy using VHDL
Combinational logic circuit
Week #6 Sequential Circuits (Part A)
LAB #6 Sequential Logic Design (Flip Flops, Shift Registers)
Finite State Machines (part 1)
Week #7 Sequential Circuits (Part B)
LAB #3 Design of Combinational Logic “The Trip Genie”
LAB #4 Xilinix ISE Foundation Tools VHDL Design Entry “A Tutorial”
Describing Combinational Logic Using Processes
Registers and Counters
Part IV: VHDL CODING.
LAB #1 Introduction Combinational Logic Design
D Flip-Flop.
VHDL 5 FINITE STATE MACHINES (FSM)
Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams
Building blocks of a computer
CS341 Digital Logic and Computer Organization F2003
VHDL Introduction.
Figure 8.1. The general form of a sequential circuit.
Digital Systems II EEC 180 Bevan M. Baas.
Sequntial-Circuit Building Blocks
4-Input Gates VHDL for Loops
FLIP-FLOP. The basic memory circuit is known as Flip-flop. OR It is a bistable sequential circuit which has two stable state (set & reset) and can be.
(Sequential-Circuit Building Blocks)
Presentation transcript:

ENG2410 Digital Design LAB #6 LAB #6 Sequential Logic Design (Flip Flops)

ENG241/Lab #62 Lab Objectives  Understand the concept of sequential circuit.  Understand sequential circuit design flow. Design a simple D Flip Flop using VHDL. Design a simple D Flip Flop using VHDL.

ENG241/Lab #63 Part 1 D Flip Flop  Design D-FF with asynchronous reset logic using VHDL.  Use an LED to display the output of the FF.

ENG241/Lab #64 Sample Sequential Circuit Positive Edge-Triggered D Flip-Flop  Note that this FF does not have a “reset” input library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity dff is port ( d, clk : in std_logic; q, qbar : out std_logic); q, qbar : out std_logic); end dff; architecture behaviour of dff is begin Up : process (clk,d) begin if clk’even and clk = ‘1’ then q <= d; qbar <= not d; end if; end process; end behaviour;

Academic Misconduct  Reports and demos are submitted as a group, but it is a SINGLE group effort  You may talk with other groups but sharing codes or reports is NOT ALLOWED  Copying reports from previous years is also NOT ALLOWED  If we find copying we are REQUIRED to report it