Digital Systems Design VHDL simulation of a 3 – Bit Binary Decoder with Enable by Marc A. Mackey.

Slides:



Advertisements
Similar presentations
©2004 Brooks/Cole FIGURES FOR CHAPTER 20 VHDL FOR DIGITAL SYSTEM DESIGN Click the mouse to move to the next page. Use the ESC key to exit this chapter.
Advertisements

1 The 2-to-4 decoder is a block which decodes the 2-bit binary inputs and produces four output All but one outputs are zero One output corresponding to.
VHDL Lecture 1 Megan Peck EECS 443 Spring 08.
OBJECTIVES Learn the history of HDL Development. Learn how the HDL module is structured. Learn the use of operators in HDL module. Learn the different.
Introduction To VHDL for Combinational Logic
Digital Logic with VHDL EE 230 Digital Systems Fall 2006 (10/17/2006)
1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
Quad 2-to-1 and Quad 4-to-1 Multiplexers Discussion D2.4 Example 7.
Arbitrary Waveform Discussion 5.5 Example 34.
Gray Code Converters Discussion D9.1 Example 16.
Shifters Discussion D7.1 Example Bit Shifter.
Divider Discussion D7.3 Example 20.
Ring Counter Discussion D5.3 Example 32. Ring Counter if rising_edge(CLK) then for i in 0 to 2 loop s(i)
Top-level VHDL Designs
Generic Multiplexers: Parameters Discussion D2.5 Example 8.
2-to-1 Multiplexer: if Statement Discussion D2.1 Example 4.
Decoders and Encoders Lecture L4.2. Decoders and Encoders Binary Decoders Binary Encoders Priority Encoders.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
Introduction to VHDL Multiplexers. Introduction to VHDL VHDL is an acronym for VHSIC (Very High Speed Integrated Circuit) Hardware Description Language.
4-to-1 Multiplexer: case Statement Discussion D2.3 Example 6.
Development System using Altium Designer Supervisor : Ina Rivkin Performed by: Fared Ghanayim Jihad Zahdeh Technion – Israel Institute of Technology Department.
Digilent Spartan 3 Board Discussion D3.3
Introduction to VHDL Multiplexers Discussion D1.1.
ECE 331 – Digital System Design
Binary-to-BCD Converter
Binary-to-BCD Converter
4-Bit Binary-to-BCD Converter: case Statement
Binary-to-BCD Converter
Figure 5.1 Conversion from decimal to binary. Table 5.1 Numbers in different systems.
ENG241 Digital Design Week #4 Combinational Logic Design.
ENG2410 Digital Design LAB #8 LAB #8 Data Path Design.
ENG2410 Digital Design LAB #6 LAB #6 Sequential Logic Design (Flip Flops)
EE3A1 Computer Hardware and Digital Design Lecture 5 Testbenches and Memories in VHDL.
ENG2410 Digital Design LAB #5 Modular Design and Hierarchy using VHDL.
ECE 331 – Digital System Design Multiplexers and Demultiplexers (Lecture #13)
2’s Complement 4-Bit Saturator Discussion D2.8 Lab 2.
CEC 220 Digital Circuit Design More VHDL Fri, February 27 CEC 220 Digital Circuit Design Slide 1 of 15.
4-to-1 Multiplexer: Module Instantiation Discussion D2.2 Example 5.
Digital System Projects
 Seattle Pacific University EE Logic System DesignCounters-1 Shift Registers DQ clk DQ DQ ShiftIn Q3Q3 Q2Q2 DQ Q1Q1 Q0Q0 A shift register shifts.
9/9/2006DSD,USIT,GGSIPU1 Concurrent vs Sequential Combinational vs Sequential logic –Combinational logic is that in which the output of the circuit depends.
CS/EE 3700 : Fundamentals of Digital System Design
Apr. 3, 2000Systems Architecture I1 Introduction to VHDL (CS 570) Jeremy R. Johnson Wed. Nov. 8, 2000.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
Number Representation and Arithmetic Circuits
May 9, 2001Systems Architecture I1 Systems Architecture I (CS ) Lab 5: Introduction to VHDL Jeremy R. Johnson May 9, 2001.
Fundamentals of Digital Signal Processing יהודה אפק, נתן אינטרטור אוניברסיטת תל אביב.
1 Introduction to Engineering Spring 2007 Lecture 19: Digital Tools 3.
Unit 7 Mixed Language Descriptions SYLLABUS Highlights of Mixed-Language Description, How to invoke One language from the Other Mixed-language Description.
LAB #5 Modular Design and Hierarchy using VHDL
Combinational logic circuit
LAB #6 Sequential Logic Design (Flip Flops, Shift Registers)
Systems Architecture Lab: Introduction to VHDL
Describing Combinational Logic Using Processes
ENG2410 Digital Design “Combinational Logic Design”
ECE 4110–5110 Digital System Design
HDL Programming Fundamentals
ENG6530 Reconfigurable Computing Systems
Combinational Circuits Using VHDL
Advanced Digital design
Binary-to-BCD Converter
Binary Lesson 3 Hexadecimal
VHDL (VHSIC Hardware Description Language)
VHDL Structural Architecture
Concurrent vs Sequential
ECE 331 – Digital System Design
Binary Lesson 4 Hexadecimal and Binary Practice
4-Input Gates VHDL for Loops
Digital Logic with VHDL
(Sequential-Circuit Building Blocks)
Presentation transcript:

Digital Systems Design VHDL simulation of a 3 – Bit Binary Decoder with Enable by Marc A. Mackey

3 - Bit Binary Decoder

List of eight address selections in hex  address select is in hex  000  001  010  011  100  101  110  111

Output Description  E is the enable when the enable is low all outputs are zero  D0 is high when 000 is addressed and E is high  D1 is high when 001 is addressed and E is high  D2 is high when 010 is addressed and E is high  D3 is high when 011 is addressed and E is high  D4 is high when 100 is addressed and E is high  D5 is high when 101 is addressed and E is high  D6 is high when 110 is addressed and E is high  D7 is high when 111 is addressed and E is high

VHDL Code for Decoder  --VHDL Code For The 3-bit Decoder with Enable   library ieee;  use ieee.STD_LOGIC_1164.all;  entity decoder is  port (  addr_sel : in std_ulogic;  E : in std_ulogic;  D0 : out std_ulogic;  D1 : out std_ulogic;  D2 : out std_ulogic;  D3 : out std_ulogic;  D4 : out std_ulogic;  D5 : out std_ulogic;  D6 : out std_ulogic;  D7 : out std_ulogic  );  end decoder;

VHDL Code for Decoder (cont’d)  architecture decoder of binary is  begin  process (addr_sel, E)  begin  if (E = '1') then  case addr_sel(2 downto 0) is  when "000" =>D0 <= " ";  when "001" =>D1 <= " ";  when "010" =>D2 <= " ";  when "011" =>D3 <= " ";  when "100" =>D4 <= " ";  when "101" =>D5 <= " ";  when "110" =>D6 <= " ";  when "111" =>D7 <= " ";  end case;  end if;  end process;   end decoder;

VHDL Simulation

Vote of Thanks  James Freebourn–Harris Corp.  Melbourne, FL 