Feng-Xiang Huang 2011.03.02 Test Symposium(ETS),2010 15 th IEEE European Ko, Ho Fai; Nicolici, Nicola; Department of Electrical and Computer Engineering,

Slides:



Advertisements
Similar presentations
Control path Recall that the control path is the physical entity in a processor which: fetches instructions, fetches operands, decodes instructions, schedules.
Advertisements

Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
Presenter : Shih-Tung Huang 2015/4/30 EICE team Automated Data Analysis Solutions to Silicon Debug Yu-Shen Yang Dept. of ECE University of Toronto Toronto,
1/1/ /e/e eindhoven university of technology Microprocessor Design Course 5Z008 Dr.ir. A.C. (Ad) Verschueren Eindhoven University of Technology Section.
Presenter : Ching-Hua Huang 2014/4/14 A Configurable Bus-Tracer for Error Reproduction in Post-Silicon Validation Shing-Yu Chen ; Ming-Yi Hsiao ; Wen-Ben.
Feng-Xiang Huang 2015/5/4 International Symposium Quality Electronic Design (ISQED), th M. H Neishaburi, Zeljko Zilic, McGill University, Quebec.
1 Architectural Complexity: Opening the Black Box Methods for Exposing Internal Functionality of Complex Single and Multiple Processor Systems EECC-756.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Reporter:PCLee With a significant increase in the design complexity of cores and associated communication among them, post-silicon validation.
Feng-Xiang Huang MCORE Architecture implements Real-Time Debug Port based on Nexus Consortium Specification David Ruimy Gonzales Senior Member of Technical.
Efficient Compression and Application of Deterministic Patterns in a Logic BIST Architecture Peter Wohl, John A. Waicukauski, Sanjay Patel, Minesh B. Amin.
1 Asynchronous Bit-stream Compression (ABC) IEEE 2006 ABC Asynchronous Bit-stream Compression Arkadiy Morgenshtein, Avinoam Kolodny, Ran Ginosar Technion.
Dynamic Scan Clock Control In BIST Circuits Priyadharshini Shanmugasundaram Vishwani D. Agrawal
Zheming CSCE715.  A wireless sensor network (WSN) ◦ Spatially distributed sensors to monitor physical or environmental conditions, and to cooperatively.
Hyunbean Yi, Sungju Park, and Sandip Kundu, Fellow, IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS-I : REGULAR PAPERS, VOL. 57, NO. 7, JULY 2010 Reporter:
Memories and the Memory Subsystem; The Memory Hierarchy; Caching; ROM.
Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock Priyadharshini Shanmugasundaram Vishwani D. Agrawal.
Feng-Xiang Huang A Low-Cost SOC Debug Platform Based on On-Chip Test Architectures.
11/17/05ELEC / Lecture 201 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Presenter : Shao-Jay Hou. Today’s complex integrated circuit designs increasingly rely on post-silicon validation to eliminate bugs that escape from pre-silicon.
Presenter : Shih-Tung Huang Tsung-Cheng Lin Kuan-Fu Kuo 2015/6/15 EICE team Model-Level Debugging of Embedded Real-Time Systems Wolfgang Haberl, Markus.
Presenter: Shao-Jay Hou. Embedded logic analysis has emerged as a powerful technique for identifying functional bugs during post- silicon validation,
Data Partitioning for Reconfigurable Architectures with Distributed Block RAM Wenrui Gong Gang Wang Ryan Kastner Department of Electrical and Computer.
Programmable logic and FPGA
Presenter: Shao-Jay Hou. In the multicore era, capturing execution traces of processors is indispensable to debugging complex software. The inability.
Instruction Set Architecture (ISA) for Low Power Hillary Grimes III Department of Electrical and Computer Engineering Auburn University.
IC-SOC STEAC: An SOC Test Integration Platform Cheng-Wen Wu.
The Memory/Logic Interface in FPGA’s with Large Embedded Memory Arrays The Memory/Logic Interface in FPGA’s with Large Embedded Memory Arrays Steven J.
ELEN 468 Lecture 251 ELEN 468 Advanced Logic Design Lecture 25 Built-in Self Test.
BIST vs. ATPG.
1 Presenter: Chien-Chih Chen Proceedings of the 2002 workshop on Memory system performance.
Feng-Xiang Huang A Design-for-Debug (DfD) for NoC-based SoC Debugging via NoC Hyunbean Yi 1, Sungju Park 2, and Sandip Kundu 1 1 Department of Electrical.
1 DSP Implementation on FPGA Ahmed Elhossini ENGG*6090 : Reconfigurable Computing Systems Winter 2006.
GPGPU platforms GP - General Purpose computation using GPU
Presenter : Shao-Cheih Hou Sight count : 11 ASPDAC ‘08.
ICOM 6005 – Database Management Systems Design Dr. Manuel Rodríguez-Martínez Electrical and Computer Engineering Department Lecture 6 – RAID ©Manuel Rodriguez.
L i a b l eh kC o m p u t i n gL a b o r a t o r y Trace-Based Post-Silicon Validation for VLSI Circuits Xiao Liu Department of Computer Science and Engineering.
Reporter: PCLee. Assertions in silicon help post-silicon debug by providing observability of internal properties within a system which are.
Presenter: Hong-Wei Zhuang On-Chip SOC Test Platform Design Based on IEEE 1500 Standard Very Large Scale Integration (VLSI) Systems, IEEE Transactions.
Presenter : Ching-Hua Huang 2013/9/16 Visibility Enhancement for Silicon Debug Cited count : 62 Yu-Chin Hsu; Furshing Tsai; Wells Jong; Ying-Tsai Chang.
Presenter: Jyun-Yan Li Effective Software-Based Self-Test Strategies for On-Line Periodic Testing of Embedded Processors Antonis Paschalis Department of.
Reporter :PCLee The decisions on when to acquire debug data during post-silicon validation are determined by trigger events that are programmed.
Presenter: PCLee Post-silicon validation is used to identify design errors in silicon. Its main limitation is real-time observability of the.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
SEQUENTIAL CIRCUITS Component Design and Use. Register with Parallel Load  Register: Group of Flip-Flops  Ex: D Flip-Flops  Holds a Word of Data 
Reconfigurable Computing Using Content Addressable Memory (CAM) for Improved Performance and Resource Usage Group Members: Anderson Raid Marie Beltrao.
Presenter: PCLee. Semiconductor manufacturers aim at delivering high-quality new devices within shorter times in order to gain market shares.
Test and Test Equipment Joshua Lottich CMPE /23/05.
Preeti Ranjan Panda, Anant Vishnoi, and M. Balakrishnan Proceedings of the IEEE 18th VLSI System on Chip Conference (VLSI-SoC 2010) Sept Presenter:
Memory Management COSC 513 Presentation Jun Tian 08/17/2000.
Integrated Test Data Compression and Core Wrapper Design for Low-Cost System-on-a-Chip Testing Paul Theo Gonciari Bashir Al-Hashimi Electronic Systems.
Digital Computer Concept and Practice Copyright ©2012 by Jaejin Lee Logic Circuits II.
25 April 2000 SEESCOASEESCOA STWW - Programma Evaluation of on-chip debugging techniques Deliverable D5.1 Michiel Ronsse.
Adaptive Multi-Threading for Dynamic Workloads in Embedded Multiprocessors 林鼎原 Department of Electrical Engineering National Cheng Kung University Tainan,
Min Li and Azadeh Davoodi
Presenter: Shao-Chieh Hou International Database Engineering & Application Symposium (IDEAS’05)
System Unit Working of CPU. The CPU CPU The CPU CPU stands for central processing unit. it is brain of computer It is most important component of the.
By Fernan Naderzad.  Today we’ll go over: Von Neumann Architecture, Hardware and Software Approaches, Computer Functions, Interrupts, and Buses.
Proposal for an Open Source Flash Failure Analysis Platform (FLAP) By Michael Tomer, Cory Shirts, SzeHsiang Harper, Jake Johns
Computer Organization Instruction Set Architecture (ISA) Instruction Set Architecture (ISA), or simply Architecture, of a computer is the.
Multi-Mode Trace Signal Selection for Post-Silicon Debug Min Li and Azadeh Davoodi Department of Electrical and Computer Engineering University of Wisconsin-Madison.
Fast Lookup for Dynamic Packet Filtering in FPGA REPORTER: HSUAN-JU LI 2014/09/18 Design and Diagnostics of Electronic Circuits & Systems, 17th International.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n FPGA fabric architecture concepts.
An Offline Approach for Whole-Program Paths Analysis using Suffix Arrays G. Pokam, F. Bodin.
RAM RAM - random access memory RAM (pronounced ramm) random access memory, a type of computer memory that can be accessed randomly;
ELEC 7950 – VLSI Design and Test Seminar
Resource Sharing in LegUp. Resource Sharing in High Level Synthesis Resource Sharing is a well-known technique in HLS to reduce circuit area by sharing.
Computer Organization
Lecture 26 Logic BIST Architectures
Design principles for packet parsers
Presentation transcript:

Feng-Xiang Huang Test Symposium(ETS), th IEEE European Ko, Ho Fai; Nicolici, Nicola; Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON L8S 4K1, Canada

Combining Scan and Trace Buffers for Enhancing Real-time Observability in Post-Silicon Debugging A Scan Cell Design for Scan-Based Debugging of an SoC With Multiple Clock Domains On-Chip SOC Test Platform Design Based on IEEE 1500 Standard On-Chip SOC Test Platform Design Based on IEEE 1500 Standard

Scan is a known design-for-test technique in manufacturing test that has been successfully applied also to aid post-silicon debugging on testers. However, to achieve real-time observability in-field, embedded trace buffers are needed. In this paper, we discuss how in the presence of enhanced scan chains, trace buffers can be utilized efficiently for real-time debug data acquisition in-field.

Reusing scan chains [ ] Reusing scan chains [ ] Capacity of the on-chip trace buffers [ ] Capacity of the on-chip trace buffers [ ] Compressing the trace data [2.3.6] Compressing the trace data [2.3.6] Trace signal election algorithm [16.21] Trace signal election algorithm [16.21] Automated Data Analysis Solutions[22] Debug Approach Based on Suspect Window[9] Algorithms for state Restoration [12] Algorithms for state Restoration [12] Too Much Delay Fault Coverage Is a Bad Thing [18] Too Much Delay Fault Coverage Is a Bad Thing [18] Combining Scan and Trace….

Post-silicon debugging  The first phase 。 Learn how to control the failure  The second phase 。 Space(identify the erroneous logic block) & Time(find the exact clock cycle) 。 repeatable  In controlled environments where patterns that are known to trigger the failure will be applied under different operating conditions. The experiments are deterministic(repeatable)- Scan chains 。 Non-repeatable  There are still many sources of non-determinism in- field: asynchronous interfaces, interrupts from peripherals.-embedded logic analysis0

A typical embedded logic analyzer  Trigger unit- 。 determine when data acquisition should be initiated  Sample unit –Trace buffer 。 As embedded memories 。 Issue  Capacity  Offload unit 。 Unload the data for further processing

Enhanced scan chains  Additional state element- shadow flip-flop 。 During real-time debugging, the state snapshot is offloaded into the shadow scan chain, without interrupting the execution.

Combination enhance scan chain & trace buffer.  Such that the storage space for the trace buffer is divided to sample data(trace signal) and scan data(scan chain)  Limited by the width of the trace buffer

Division of storage space for trace and scan.  Insert multiplexers that be reconfigured at runtime to collect different combinations of trace and scan data. To address tradeoff  Using the multiplexers which are controlled by a programmable configuration register.  How many signals should be traced? Vs. How much scan data should be stored?

Computing the capacity of trace buffer(16*1024bits)

Scan dump frequency  When the expiration time is programmed to be shorter than the length of the shadow scan chains, some of the scan data will be lost.

Area investment  Enhanced scan cells  Multiplexers The table contains data for when a 32 Kbits trace buffer.

When one performs more scan dumps with less number of scan cells, the amount of data available after state restoration actually decreased. This is because the scan cells that are discarded are chosen based on the restorability metric proposed in [12]  Research or develop new metrics and algorithms. 。 Which shadow scan cells should be the best candidates to be discarded? 。 For automated trace signal selection/

They proposed the flexibility architecture.  Unlike the existing approaches that consider either scan dumps or tracing a subset of internal signals in real-time in post-silicon debugging.  You can choose what type of data is acquired that ultimately make more efficient usage of the limited storage.

The Formula is not easily to understand.  Need an example for demonstrate ? Need a table of area investment. Scan data and trace data are dependent or not?