displayCtrlr Specification

Slides:



Advertisements
Similar presentations
Xilinx 6.3 Tutorial Integrated Software Environment (ISE) Set up basic environment Select Gates or Modules to Be simulated (Insert Program Code) Run Waveform.
Advertisements

Modulo-N Counters Module M10.4 Section 7.2.
Lab 7 : Decoders/Encoders : Slide #2 Slide #3 Slide #4 Slide #5 Slide #6 “1 of 10” Encoder “1 of 10” Encoder Connected to a SPST Keypad. Control Signal.
Sumitha Ajith Saicharan Bandarupalli Mahesh Borgaonkar.
Enables simulation of the complete appliedVHDL system without the UART element. UART is removed and a byte I/O interface is used with the SRAM BFM Submission.
Stop Watch Sean Hicks Dongpu Jin ELEC 307 Project 2 Instructor: Alvaro Pinto April/12/2011.
Counter Circuits and VHDL State Machines
FPGA BASED IMAGE PROCESSING Texas A&M University / Prairie View A&M University Over the past few decades, the improvements from machine language to objected.
ECE Department: University of Massachusetts, Amherst Lab 1: Introduction to NIOS II Hardware Development.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE Senior Design I Lecture 2 FPGAs & Verilog.
EE/CS 120A Lab 4 LAB 3 report due on this Friday 2:00pm.
Lab 6 :Digital Display Decoder: 7 Segment LED display Slide #2 Slide #3 Slide #4 Slide #5 Slide #6 Slide #7 Display Decoder Fundamentals LT Control Input.
DIGITAL DESIGN WITH VHDL Exercise 1 1Muhammad Amir Yousaf.
Lab 5 :JK Flip Flop and Counter Fundamentals:
ECE 448: Spring 12 Lab 4 – Part 2 Finite State Machines Basys2 FPGA Board.
Capacitance Sensor Project
Figure 1.1 The Altera UP 3 FPGA Development board
Sept EE24C Digital Electronics Project Design of a Digital Alarm Clock.
CPE 169 Digital Design Laboratory Digilent Inc. Nexys Development Board.
Introduction to Counter in VHDL
Experiment #3A: Introduction to Function Reduction, Function Forms, and VHDL Implementation CPE 169 Digital Design Laboratory.
ECE 545 Project 1 Part IV Key Scheduling Final Integration List of Deliverables.
ENG241/ Lab #41 ENG2410 Digital Design LAB #4 Design of Combinational Logic “The Trip Genie”
Spring Introduction  Today’s tutorial focuses on introducing you to Xilinx ISE and Modelsim.  These tools are used for Verilog Coding Simulation.
Comments on Lab #4 Annotating Timing Diagrams Draw viewer’s attention to the points you are trying to show / verify –Important output states glitch or.
1 Introduction to Xilinx ISL8.1i Schematic Capture and VHDL 1.
© 2003 Xilinx, Inc. All Rights Reserved HDL Co-Simulation.
VHDL Project Specification Naser Mohammadzadeh. Schedule  due date: Tir 18 th 2.
1 Introduction to Xilinx ISL8.1i & 11.1 Schematic Capture 1.
1 Combinational Logic Design Digital Computer Logic Kashif Bashir
LAB #2 Xilinix ISE Foundation Tools Schematic Capture “A Tutorial”
Senior Project Presentation: Designers: Shreya Prasad & Heather Smith Advisor: Dr. Vinod Prasad May 6th, 2003 Internal Hardware Design of a Microcontroller.
FPGA Design Flow Based on Using Seven-Segment Displays,
Combinational Building Blocks: Encoders and Decoders Experiment 6.
ECE 448: Spring 11 Lab 3 Part 1 Sequential Logic for Synthesis.
Slide 1 6. VHDL/Verilog Behavioral Description. Slide 2 Verilog for Synthesis: Behavioral description Instead of instantiating components, describe them.
ENG2410 Digital Design LAB #5 Modular Design and Hierarchy using VHDL.
CascadedBCDCntr&Display Aim : Capture, simulate and implement a 2-digit, loadable BCD up/down counter, with chip enable I/P (CE) and chip enable O/P (CEO).
Reaction Timer Project
Anurag Dwivedi. Basic Block - Gates Gates -> Flip Flops.
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
Slide 1 2. Verilog Elements. Slide 2 Why (V)HDL? (VHDL, Verilog etc.), Karen Parnell, Nick Mehta, “Programmable Logic Design Quick Start Handbook”, Xilinx.
MemCtrlrUnit Aim: Capture and simulate memCtrlrUnit element ISE Project : memCtrlr.ise provides access to all of the constituent files This document contains:
Yu Du, Yu Long Electrical & Computer Engineering
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
COE4OI5 Engineering Design Chapter 1: The 15 minutes design.
11 EENG 1920 Introduction to VHDL. 22 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
Introduction to Labs Wenchao Cao, Teaching Assistant Department of EECS University of Tennessee.
Teaching Digital Logic courses with Altera Technology
AppliedVHDLV1 Aim: Capture, simulate, implement appliedVHDLV1 System Supports GUI r/w access from/to FPGA CSR block This document contains: EE427 submission.
ECE 3450 M. A. Jupina, VU, 2016 Capacitance Sensor Project Goal: Creation of a digital capacitance sensor circuit where a variation in capacitance changes.
ECE 448 Lab 3 FPGA Design Flow Based on Xilinx ISE and ISim. Using Seven-Segment Displays, Buttons, and Switches.
Tutorial 9 Module 8 – 8.1,8.2,8.3. Question 1 Distinguish between vectored and non-vectored interrupts with an example Build a hardware circuit that can.
ECE 448 Lab 3 FPGA Design Flow Based on Xilinx ISE and Isim. Using Seven-Segment Displays, Buttons, and Switches.
DspBlk Aim: Capture and simulate dspBlk element ISE Project : appliedVHDL.ise provides access to all constituent files This document contains: EE427 submission.
George Mason University Finite State Machines Refresher ECE 545 Lecture 11.
LAB #5 Modular Design and Hierarchy using VHDL
Introduction to the FPGA and Labs
Lottery Speaker: Tsung-Yi Wu.
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code.
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
Implementing Combinational and Sequential Logic in VHDL
LAB #2 Xilinix ISE Foundation Tools Schematic Capture “A Tutorial”
Founded in Silicon Valley in 1984
THE ECE 554 XILINX DESIGN PROCESS
Prof. Onur Mutlu ETH Zurich Spring March 2019
ECE 448 Lab 3 – Part 1 FPGA Design Flow Based on
ECE 448 Lab 3 – Part 1 FPGA Design Flow Based on
THE ECE 554 XILINX DESIGN PROCESS
Computer Operation 6/22/2019.
Presentation transcript:

displayCtrlr Specification Aim: Capture, simulate and implement a multiplexed 7 segment and LED display controller subsystem. Implement on the Digilent Xilinx Spartan-3 FPGA development system. Display four digit values on the 7-segment display element. Assume a 50MHz system clk frequency. displayCtrlr ISE module VHDL file name is displayCtrlr.vhd Top (FPGA) level ISE module VHDL file name is d3Design.vhd The displayCtrlr facilitates hardware debug of FPGA designs implemented during the course Digilent Spartan-3 Xilinx FPGA hardware development system displayCtrlr functional partition diagram

This document contains: Contents This document contains: Submission / demonstration instructions Top level FPGA pin connection Top level d3Design Diagram, Data Dictionary and FPGA pinout Digilent Spartan-3 development system, 7-segment display circuitry displayCtrlr Component Incremental Data Dictionary displayCtrlr process description Description of ISE project template files provided Lab instructions Reference timing diagrams (simulation waveforms) Expected synthesised RTL schematic

Top (FPGA) d3Design Diagram, Data Dictionary and FPGA pinout clk: system clock strobe rst: asynchronous reset (H) btn(2:0): 3 spring loaded switches sw(7:0): 8 x toggle switches anL(3:0): 4 x 7-seg display anode control signals (L asserted). displayCtrlr component asserts (L) one anode control signal at a time, for a period of 81.92us seg7L(6:0): 7-seg LEDs, common to each 7-seg display (L asserted) dpL: decimal point LED, common to each 7-seg ld(7:0): 8 x LEDs (H asserted)

Digilent Spartan-3 development system, 7-segment display circuitry 7-segent display circuitry

Digilent Spartan-3 development system, 7-segment display circuitry Refer to schematic and User guide (datasheet)

displayCtrlr Component Incremental Data Dictionary dpIn(3:0): 4 x decimal point input values (H asserted) ldIn(7:0): 8 x LED display data in (H asserted) seg73Sel(3:0), seg72Sel(3:0), seg71Sel(3:0), seg70Sel(3:0): digit-wide data for decoding and displaying on 7-seg display cnt(13:0): 14 bit counter rolls over every 16k (0->16384)*clks (327.68us). seg7Sel(1:0): 2-bit combination, changing at 81.92us intervals to enable practical viewing of data on the 7 segment displays seg7Sel(1:0) [= cnt(13:12)] seg7Sel used to generate anL, select 7 segment display and DP values data(3:0): digit-wide 7-seg display data input to 7-seg decoder

displayCtrlr Process Description Refer to Digilent Xilinx Spartan 3 h/w development system spec for details on display devices Pin requirement: 7-segment display data bus (7 pins), anode (4 pins), decimal point (4 pins). Total = 15 pins If 7 segment displays are separately hardwired, require 4 x [data bus (7 pins), decimal point (1 pin)]. Total = 32 pins Counter cnt(13:0) divides system clock (50MHz) to derive slow (81.92us) 2-bit select signals (seg7Sel(1:0)) seg7Sel selects digit display path to 7 segment decoder, DP bit and enables the anode control signals in turn All 7 segment displays and decimal points are driven with seg7L(6:0) and dpL(3:0) respectively seg7*In are const values selected in turn (using seg7Sel) LED values display input ld(7:0) values selDisplay process : selects digit-wide signal (data) to be decoded in 7 segment decoder (binTo7SegDec) four anode signals (anL(3:0)) (low asserted) decimal point signal (dpL) (low asserted)

Description of ISE project template files provided Project file Simulation user macro (provided) Simulation automatic macro (provided) VHDL Testbench (provided) displayCtrlr synthesisable VHDL model (template code provided, to be completed) Top (FPGA) level VHDL model (provided) User constraints file including FPGA pinout assignment Modelsim preferences file (Provided) Review all files Project level definitions/components declared in NUIGLibraryPackage/NUIGPackage.vhd

Lab instructions ISE Project : displayCtrlr.ise (provides access to all of the constituent files) displayCtrlr level VHDL capture and simulation Complete displayCtrlr.vhd VHDL model template to fully describe the required model. Process labels are included corresponding to the labels on the functional partition Check VHDL code syntax, synthesise and view RTL schematic. Review the displayCtrlr VHDL testbench code and Modelsim macro files provided Simulate fully, review the timing waveform and verify correct VHDL model operation. d3Design.vhd level Review and verify the top level design d3Design.vhd model. This includes displayCtrlr component instance connected to the FPGA pins. Implement on FPGA Review d3Design.ucf (provided) for pinout Implement d3Design.vhd top level model and test

Timing diagram Next slide zooms in here

Timing diagram Assert (L) one bit of anL(3:0) at 4096 x clk period intervals anL(3:0) changes from 1110 (E) -> 1101 (D) here

Synthesised displayCtrlr symbol Expected displayCtrlr synthesised (RTL) schematic (for reference) Synthesised displayCtrlr symbol