10/03/2005: 1 Physical Synthesis of Latency Aware Low Power NoC Through Topology Exploration and Wire Style Optimization CK Cheng CSE Department UC San.

Slides:



Advertisements
Similar presentations
Comparison Of Network On Chip Topologies Ahmet Salih BÜYÜKKAYHAN Fall.
Advertisements

A Novel 3D Layer-Multiplexed On-Chip Network
Presentation of Designing Efficient Irregular Networks for Heterogeneous Systems-on-Chip by Christian Neeb and Norbert Wehn and Workload Driven Synthesis.
Multicast in Wireless Mesh Network Xuan (William) Zhang Xun Shi.
1 Advancing Supercomputer Performance Through Interconnection Topology Synthesis Yi Zhu, Michael Taylor, Scott B. Baden and Chung-Kuan Cheng Department.
An Analytical Model for Worst-case Reorder Buffer Size of Multi-path Minimal Routing NoCs Gaoming Du 1, Miao Li 1, Zhonghai Lu 2, Minglun Gao 1, Chunhua.
Delay and Throughput in Random Access Wireless Mesh Networks Nabhendra Bisnik, Alhussein Abouzeid ECSE Department Rensselaer Polytechnic Institute (RPI)
1 Interconnection Networks Direct Indirect Shared Memory Distributed Memory (Message passing)
Jan 13, 2006Lahore University of Management Sciences1 Protection Routing in an MPLS Network using Bandwidth Sharing with Primary Paths Zartash Afzal Uzmi.
L2 to Off-Chip Memory Interconnects for CMPs Presented by Allen Lee CS258 Spring 2008 May 14, 2008.
A Monotonic-Decreasing Rate Scheduler for Variable-Bit-Rate Video Streaming Hin-lun Lai IEEE Transactions on Circuits and System for Video Technology,
6/16/20151 On Designing Improved Controllers for AQM Routers Supporting TCP flows By C.V Hollot, Vishal Mishra, Don Towsley and Wei-Bo Gong Presented by.
Lei Wang, Yuho Jin, Hyungjun Kim and Eun Jung Kim
Prefix Caching assisted Periodic Broadcast for Streaming Popular Videos Yang Guo, Subhabrata Sen, and Don Towsley.
1 Link Division Multiplexing (LDM) for NoC Links IEEE 2006 LDM Link Division Multiplexing Arkadiy Morgenshtein, Avinoam Kolodny, Ran Ginosar Technion –
From Miles to Millimeter: On-chip Communication Networks Alessandro Pinto U.C. Berkeley
Issues in System-Level Direct Networks Jason D. Bakos.
Trace-Driven Optimization of Networks-on-Chip Configurations Andrew B. Kahng †‡ Bill Lin ‡ Kambiz Samadi ‡ Rohit Sunkam Ramanujam ‡ University of California,
Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization Lei He, Andrew B. Kahng* #, Kingho Tam, Jinjun Xiong.
Network-on-Chip: Communication Synthesis Department of Computer Science Texas A&M University.
1 Near-Optimal Oblivious Routing for 3D-Mesh Networks ICCD 2008 Rohit Sunkam Ramanujam Bill Lin Electrical and Computer Engineering Department University.
Dragonfly Topology and Routing
Performance and Power Efficient On-Chip Communication Using Adaptive Virtual Point-to-Point Connections M. Modarressi, H. Sarbazi-Azad, and A. Tavakkol.
Yulei Zhang1, James F. Buckwalter1, and Chung-Kuan Cheng2
SYNTHESIS OF NETWORKS ON CHIPS FOR 3D SYSTEMS ON CHIPS Srinivasan Murali, Ciprian Seiculescu, Luca Benini, Giovanni De Micheli Presented by Puqing Wu.
McRouter: Multicast within a Router for High Performance NoCs
Tightly-Coupled Multi-Layer Topologies for 3D NoCs Hiroki Matsutani (Keio Univ, JAPAN) Michihiro Koibuchi (NII, JAPAN) Hideharu Amano (Keio Univ, JAPAN)
TLC: Transmission Line Caches Brad Beckmann David Wood Multifacet Project University of Wisconsin-Madison 12/3/03.
1 University of Utah & HP Labs 1 Optimizing NUCA Organizations and Wiring Alternatives for Large Caches with CACTI 6.0 Naveen Muralimanohar Rajeev Balasubramonian.
Communication issues for NOC By Farhadur Arifin. Objective: Future system of NOC will have strong requirment on reusability and communication performance.
Interconnect Networks
On-Chip Networks and Testing
Network Topologies Topology – how nodes are connected – where there is a wire between 2 nodes. Routing – the path a message takes to get from one node.
CSE 291 High Performance Interconnect Fall 2012 University of California, San Diego Course Information Instructor CK Cheng,
R OUTE P ACKETS, N OT W IRES : O N -C HIP I NTERCONNECTION N ETWORKS Veronica Eyo Sharvari Joshi.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
Report Advisor: Dr. Vishwani D. Agrawal Report Committee: Dr. Shiwen Mao and Dr. Jitendra Tugnait Survey of Wireless Network-on-Chip Systems Master’s Project.
Three-Dimensional Layout of On-Chip Tree-Based Networks Hiroki Matsutani (Keio Univ, Japan) Michihiro Koibuchi (NII, Japan) D. Frank Hsu (Fordham Univ,
International Symposium on Low Power Electronics and Design NoC Frequency Scaling with Flexible- Pipeline Routers Pingqiang Zhou, Jieming Yin, Antonia.
Déjà Vu Switching for Multiplane NoCs NOCS’12 University of Pittsburgh Ahmed Abousamra Rami MelhemAlex Jones.
Multicast Routing in Mobile Ad Hoc Networks (MANETs)
SMART: A Single- Cycle Reconfigurable NoC for SoC Applications -Jyoti Wadhwani Chia-Hsin Owen Chen, Sunghyun Park, Tushar Krishna, Suvinay Subramaniam,
George Michelogiannakis William J. Dally Stanford University Router Designs for Elastic- Buffer On-Chip Networks.
Design and Evaluation of Hierarchical Rings with Deflection Routing Rachata Ausavarungnirun, Chris Fallin, Xiangyao Yu, ​ Kevin Chang, Greg Nazario, Reetuparna.
Network-on-Chip Introduction Axel Jantsch / Ingo Sander
1 Interconnect and Packaging Lecture 8: Clock Meshes and Shunts Chung-Kuan Cheng UC San Diego.
CS 8501 Networks-on-Chip (NoCs) Lukasz Szafaryn 15 FEB 10.
RF network in SoC1 SoC Test Architecture with RF/Wireless Connectivity 1. D. Zhao, S. Upadhyaya, M. Margala, “A new SoC test architecture with RF/wireless.
Non-Minimal Routing Strategy for Application-Specific Networks-on-Chips Hiroki Matsutani Michihiro Koibuchi Yutaka Yamada Jouraku Akiya Hideharu Amano.
InterConnection Network Topologies to Minimize graph diameter: Low Diameter Regular graphs and Physical Wire Length Constrained networks Nilesh Choudhury.
University of Michigan, Ann Arbor
McGraw-Hill©The McGraw-Hill Companies, Inc., 2004 Connecting Devices CORPORATE INSTITUTE OF SCIENCE & TECHNOLOGY, BHOPAL Department of Electronics and.
Performance, Cost, and Energy Evaluation of Fat H-Tree: A Cost-Efficient Tree-Based On-Chip Network Hiroki Matsutani (Keio Univ, JAPAN) Michihiro Koibuchi.
Networks-on-Chip (NoC) Suleyman TOSUN Computer Engineering Deptartment Hacettepe University, Turkey.
Yu Cai Ken Mai Onur Mutlu
Design Tradeoffs of Long Links in Hierarchical Tiled Networks-on-Chip Group Research 1 QNoC.
Multi-objective Topology Synthesis and FPGA Prototyping Framework of Application Specific Network-on-Chip m Akram Ben Ahmed Xinyu LI, Omar Hammami.
Hybrid Optoelectric On-chip Interconnect Networks Yong-jin Kwon 1.
Incremental Run-time Application Mapping for Heterogeneous Network on Chip 2012 IEEE 14th International Conference on High Performance Computing and Communications.
PERFORMANCE EVALUATION OF LARGE RECONFIGURABLE INTERCONNECTS FOR MULTIPROCESSOR SYSTEMS Wim Heirman, Iñigo Artundo, Joni Dambre, Christof Debaes, Pham.
High Performance Interconnect and Packaging Chung-Kuan Cheng CSE Department UC San Diego
Spring EE 437 Lillevik 437s06-l22 University of Portland School of Engineering Advanced Computer Architecture Lecture 22 Distributed computer Interconnection.
1 Revamping Electronic Design Process to Embrace Interconnect Dominance Chung-Kuan Cheng CSE Department UC San Diego La Jolla, CA
Effective bandwidth with link pipelining Pipeline the flight and transmission of packets over the links Overlap the sending overhead with the transport.
Design Space Exploration for NoC Topologies ECE757 6 th May 2009 By Amit Kumar, Kanchan Damle, Muhammad Shoaib Bin Altaf, Janaki K.M Jillella Course Instructor:
1 Lecture 29: Interconnection Networks Papers: Express Virtual Channels: Towards the Ideal Interconnection Fabric, ISCA’07, Princeton Interconnect Design.
Exploring the Rogue Wave Phenomenon in 3D Power Distribution Networks Xiang Hu 1, Peng Du 2, Chung-Kuan Cheng 2 1 ECE Dept., 2 CSE Dept. University of.
Pablo Abad, Pablo Prieto, Valentin Puente, Jose-Angel Gregorio
OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel
Interconnection Network Design Lecture 14
Presentation transcript:

10/03/2005: 1 Physical Synthesis of Latency Aware Low Power NoC Through Topology Exploration and Wire Style Optimization CK Cheng CSE Department UC San Diego

10/03/2005: 2 Related Work How to map processing cores to a mesh-based NoC? [J. Hu, ASP-DAC03] [S. Murali, DATE04] –Minimize power consumption –Minimize average communication delay What is the best energy-efficient NoC topologies under different network sizes and technology nodes? [H.Wang, DATE05] Our work differs from above work in that: –Physical constraints aware when implementing NoC –Wire style optimization –Latency aware synthesis

10/03/2005: 3 Motivation Topologies are important Different wires have their own comparative advantages Topologies/Wiring Styles co-design to improve power Wire stylesBit energyRouting area Latency RC repeated wire with minimum spacing HighSmallestSlowest RC repeated wire with large spacing Medium Transmission lineHigh initial power, Low for long wire LargestFastest

10/03/2005: 4 Our Focuses Physical Synthesis NoC Power optimization through –Topology selection –Wire style optimization Communication latency aware low power synthesis

10/03/2005: 5 Design Flow

10/03/2005: 6 Topology Library NoC size4x45x56x67x78x8 #topologies+placement We studies topologies which have identical row and column connections (Degree <= 3) Cover most of popular topologies such as mesh, torus, hypercube, octagon, twisted cube, etc.

10/03/2005: 7 Power and Delay Lib: Routers Orion simulator 0.18um technology node, Vdd = 1.8v 1GHz frequency, 4-flit buffer size, 128-bit flit size

10/03/2005: 8 Power and Delay Lib: Wires Wires –unit wire length (2mm) min global pitch = 1.44um –delay of RC wires are proportional to wire Power and length –Power and delay of T-line have setup cost: P(setup) = 4.4pJ/bit, D(setup) = 50ps

10/03/2005: 9 Experiment Results

10/03/2005: 10 (1) Wire Style Optimization 4x4 torus under various evenly distributed comm. demands

10/03/2005: 11 (1) Wire Style Optimization Comm. Demand (Gb/s) Power (w/o opt.) (W) Power (w/ opt.) (W) Improvement (%) (Max) Power improvement diminishes as comm. demand increased At the maximum comm. demand, still have space for wire style optimization

10/03/2005: 12 (2) Topology Selection (Power, Bandwidth) Optimal 4x4 topologies under various evenly distributed comm. demands

10/03/2005: 13 (2) Topology Selection (Power, Bandwidth) (topology, demand(Gb/s)) Total power (W) Wire power (W) Router power (W) (mesh,1) (torus,1) (full, 1) (mesh,25) (torus,25) (full,25) (mesh,40) (torus,40) (full,40)

10/03/2005: 14 (2) Topology Selection (Latency, Power, BW) Comparison of optimal topology with mesh, torus and hypercube in terms of power and latency

10/03/2005: 15 (2) Topology Selection (Latency, Power, BW) Minimum (Power x Latency)

10/03/2005: 16 (2) Topology Selection (Latency, Power, BW) Optimal 8x8 topologies under various on-chip area resources

10/03/2005: 17 (2) Topology Selection (Latency, Power, BW) Comparison of optimal topology with mesh and torus in terms of flow-hops

10/03/2005: 18 (3) Power and Latency Tradeoffs for Optimal 8x8 Topologies

10/03/2005: 19 (4) Video Applications

10/03/2005: 20 (4) Video Applications

10/03/2005: 21 Conclusions Simultaneous optimization of topologies and wire styles. Improve power latency product by up to 52.1%, 29.4% and 35.6%, comparing with mesh, torus, and hypercube topologies, respectively. Cover most of classic direct network topologies, but extend far beyond them.