MIPS Microprocessor (Cache Circuits) ‏ Rhys Bowden, Robert Moric, Joel Stanley, Melanie Tan.

Slides:



Advertisements
Similar presentations
Xilinx 6.3 Tutorial Integrated Software Environment (ISE) Set up basic environment Select Gates or Modules to Be simulated (Insert Program Code) Run Waveform.
Advertisements

FPGA (Field Programmable Gate Array)
A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O Borgatti, M. Lertora, F. Foret, B. Cali, L.
1 The Mudd ][: A 6502 Microprocessor Implementation E158 Introduction to CMOS VLSI Design May 7, 2008.
Dr. Subbarao Wunnava June 2006 “ Functional Microcontroller Design and Implementation ” Paper Authors : Vivekananda Jayaram Dr. Subbarao Wunnava Research.
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
Wireless Terminal and PC Interface Using VLSI EE451 - Senior Project Members: Chris Brophy Matt Olinger Advisor: Dr. V. Prasad 12/11/01.
Motion Tracking Recorder 360 (MTR-360) Group #1 Lee Estep Philip Robertson Andy Schiestl Robert Tate.
Team Morphing Architecture Reconfigurable Computational Platform for Space.
Aug. 24, 2007ELEC 5200/6200 Project1 Computer Design Project ELEC 5200/6200-Computer Architecture and Design Fall 2007 Vishwani D. Agrawal James J.Danaher.
A.R. Hertneky J.W. O’Brien J.T. Shin C.S. Wessels Laser Controller One (LC1)
Simulation Interface Final Presentation Guy Zur Eithan Nadir Instructor : Igal Kogan.
16-bit 4-stage Pipelined Microprocessor EECS 427 Project Group: JARS (John, Abhishek, Ramashis, Syed)
Configurable System-on-Chip: Xilinx EDK
Development System using Altium Designer Supervisor : Ina Rivkin Performed by: Fared Ghanayim Jihad Zahdeh Technion – Israel Institute of Technology Department.
Students:Gilad Goldman Lior Kamran Supervisor:Mony Orbach Mid-Semester Presentation Spring 2005 Network Sniffer.
CPEN Digital System Design Chapter 10 – Instruction SET Architecture (ISA) © Logic and Computer Design Fundamentals, 4 rd Ed., Mano Prentice Hall.
ARMOR Asynchronous RISC Microprocessor הטכניון - מכון טכנולוגי לישראל המעבדה למערכות ספרתיות מהירות הפקולטה להנדסת חשמל Submitted by: Tziki Oz-Sinay, Ori.
Implementation of DSP Algorithm on SoC. Mid-Semester Presentation Student : Einat Tevel Supervisor : Isaschar Walter Accompaning engineer : Emilia Burlak.
1 Chapter 7 Design Implementation. 2 Overview 3 Main Steps of an FPGA Design ’ s Implementation Design architecture Defining the structure, interface.
Educational Computer Architecture Experimentation Tool Dr. Abdelhafid Bouhraoua.
Implementation of DSP Algorithm on SoC. Characterization presentation Student : Einat Tevel Supervisor : Isaschar Walter Accompany engineer : Emilia Burlak.
HW/SW Co-Design of an MPEG-2 Decoder Pradeep Dhananjay Kiran Divakar Leela Kishore Kothamasu Anthony Weerasinghe.
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
Out-of-Order OpenRISC 2 semesters project Semester A: Implementation of OpenRISC on XUPV5 board Final A Presentation By: Vova Menis-Lurie Sonia Gershkovich.
Compressed Instruction Cache Prepared By: Nicholas Meloche, David Lautenschlager, and Prashanth Janardanan Team Lugnuts.
Students: Oleg Korenev Eugene Reznik Supervisor: Rolf Hilgendorf
 Purpose of our project  Get real world experience in ASIC digital design  Use same tools as industry engineers  Get practical experience in microprocessor.
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
Introduction to FPGA AVI SINGH. Prerequisites Digital Circuit Design - Logic Gates, FlipFlops, Counters, Mux-Demux Familiarity with a procedural programming.
Infrastructure design & implementation of MIPS processors for students lab based on Bluespec HDL Students: Danny Hofshi, Shai Shachrur Supervisor: Mony.
SPREE Tutorial Peter Yiannacouras April 13, 2006.
High-Level Interconnect Architectures for FPGAs An investigation into network-based interconnect systems for existing and future FPGA architectures Nick.
Comments on Lab #4 Annotating Timing Diagrams Draw viewer’s attention to the points you are trying to show / verify –Important output states glitch or.
Design Verification An Overview. Powerful HDL Verification Solutions for the Industry’s Highest Density Devices  What is driving the FPGA Verification.
High-Level Interconnect Architectures for FPGAs Nick Barrow-Williams.
Department of Communication Engineering, NCTU 1 Unit 5 Programmable Logic and Storage Devices – RAMs and FPGAs.
VHDL Project Specification Naser Mohammadzadeh. Schedule  due date: Tir 18 th 2.
1 Towards Optimal Custom Instruction Processors Wayne Luk Kubilay Atasu, Rob Dimond and Oskar Mencer Department of Computing Imperial College London HOT.
Interfaces to External EDA Tools Debussy Denali SWIFT™ Course 12.
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
Array Synthesis in SystemC Hardware Compilation Authors: J. Ditmar and S. McKeever Oxford University Computing Laboratory, UK Conference: Field Programmable.
Part A Presentation Implementation of DSP Algorithm on SoC Student : Einat Tevel Supervisor : Isaschar Walter Accompanying engineer : Emilia Burlak The.
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
Infrastructure design & implementation of MIPS processors for students lab based on Bluespec HDL Students: Danny Hofshi, Shai Shachrur Supervisor: Mony.
MemCtrlrUnit Aim: Capture and simulate memCtrlrUnit element ISE Project : memCtrlr.ise provides access to all of the constituent files This document contains:
Lopamudra Kundu Reg. No. : of Roll No.:- 91/RPE/ Koushik Basak
IMPLEMENTATION OF MIPS 64 WITH VERILOG HARDWARE DESIGN LANGUAGE BY PRAMOD MENON CET520 S’03.
CPS3340 COMPUTER ARCHITECTURE Fall Semester, /3/2013 Lecture 9: Memory Unit Instructor: Ashraf Yaseen DEPARTMENT OF MATH & COMPUTER SCIENCE CENTRAL.
PentiumPro 450GX Chipset Synthesis Steen Larsen Presentation 1 for ECE572 Nov
VHDL and Hardware Tools CS 184, Spring 4/6/5. Hardware Design for Architecture What goes into the hardware level of architecture design? Evaluate design.
Greg Alkire/Brian Smith 197 MAPLD An Ultra Low Power Reconfigurable Task Processor for Space Brian Smith, Greg Alkire – PicoDyne Inc. Wes Powell.
Mid presentation Part A Project Netanel Yamin & by: Shahar Zuta Moshe porian Advisor: Dual semester project November 2012.
LAB 3 – Synchronous Serial Port Design Using Verilog
Content Project Goals. Workflow Background. System configuration. Working environment. System simulation. System synthesis. Benchmark. Multicore.
Out-of-Order OpenRISC 2 semesters project Semester B: OR1200 ISA Extension Final B Presentation By: Vova Menis-Lurie Sonia Gershkovich Advisor: Mony Orbach.
DDRIII BASED GENERAL PURPOSE FIFO ON VIRTEX-6 FPGA ML605 BOARD PART B PRESENTATION STUDENTS: OLEG KORENEV EUGENE REZNIK SUPERVISOR: ROLF HILGENDORF 1 Semester:
CprE 281: Verilog Tutorial Ben Magstadt – Master’s Student Electrical Engineering.
Lab Environment and Miniproject Assignment Spring 2009 ECE554 Digital Engineering Laboratory.
1 The user’s view  A user is a person employing the computer to do useful work  Examples of useful work include spreadsheets word processing developing.
ASIC Design Methodology
ECE354 Embedded Systems Introduction C Andras Moritz.
Design Flow System Level
Figure 1 PC Emulation System Display Memory [Embedded SOC Software]
Digital Engineering Laboratory
ECE 554 Digital Engineering Laboratory Nam Sung Kim (Chunhua Yao –TA)
Digital Engineering Laboratory
THE ECE 554 XILINX DESIGN PROCESS
THE ECE 554 XILINX DESIGN PROCESS
Presentation transcript:

MIPS Microprocessor (Cache Circuits) ‏ Rhys Bowden, Robert Moric, Joel Stanley, Melanie Tan

Background/Specifications Co-operate with Harvey Mudd College, California, to design/build MIPS-based microprocessor. Microprocessor uses R2000 instruction set architecture (ISA), 64 bit instructions

Blocks

Memory Block The Adelaide team will cover the caches

Fetch Block The Adelaide team will cover the caches

Cache The caches are direct-mapped write-back. Direct mapped means each slot in memory can only be put in 1 location in the cache Consequently no replacement algorithm is required. Data is only written back to memory when it is over-written in the cache.

Motivation International collaberation Template for future students Marketing tool Unique opportunity  scale  collaberation  budget

Software Testing Rob Use pre-existing test tools – Synopsis, Cadence. Alternatively, create a test-deck by: Joel Parse.vcd files. Mel Annotate Verilog with trace writes. Rhys Use Programming Language Interface to call other software from within Verilog.

Cache Controller Cache RAM Additional Logic Data Mux’ing Cache Output Signals Data In/Out Mem Sys Control Signals Cache Block

Address Tag Data Logic Controller State Logic Other Logic Bypass Done Waiting Reading Mem Sys Control Signals Cache Controller

Cache RAM Decoder64 Cache Signal Buffers Address Lines Ph1 & Ph2 RAM Array Signals Data IN/OUT Bitline Conditioning SRAM Array Write Driver Cache RAM Array

Design Flow Understand interface, and logical operation of all modules in Verilog. Familiarity with ModelSim Build Schematics using RTL descriptions in Verilog code. Schematics built using Electric Verify Schematics using Verilog testbenches in ModelSim or IRSIM stimuli in Electric Failed Passed Using Schematics and floorplanning diagrams, Build the Layouts of modules using Electric Verify Layouts using DRC, NCC, ERC Verilog testbenches in ModelSim or IRSIM stimuli within Electric Failed Passed Generate IRSIM and SPICE testbenches for temporal analysis of schematics. Or use other software packages or alternative methodology Become familiar with using other software packages such as SPICE, Cadence, Snoop Gen, other viable software that is available Conduct temporal testing of schematics using generated test benches to identify critical paths Redesign critical sections and retest schematics Change Layouts of redesigned schematics Passed Failed Start

Workflow Low power design research/ implementation Evaluate low power design using simulation tools Testing of FPGA peripherals Testing of uP on PCB Compile software for MIPS uP Prepare software for presentation Packaging design Packaging implementation

Low Power Design Focus most significant source of power consumption. Dynamic Power Dissipation. Inactivate Unused Blocks. Improving defined architecture of the MIPS microprocessor. Same functionality with less processing. Implemented and Evaluated.

Workflow Low power design research/ implementation Evaluate low power design using simulation tools Testing of FPGA peripherals Testing of uP on PCB Compile software for MIPS uP Prepare software for presentation Packaging design Packaging implementation

Demonstration Port of GNU toolchain – binutils, gcc, libc Enables port of GNU/Linux Webserver over RS-232 console

Workflow Low power design research/ implementation Evaluate low power design using simulation tools Testing of FPGA peripherals Testing of uP on PCB Compile software for MIPS uP Prepare software for presentation Packaging design Packaging implementation

moderatehighlow 9. Fabrication grant is not awarded low moderate 8. Changing requirements moderatelowhigh 7. Change of supervisor low 6. Absence of team members low moderate 5. Unavailability of resources lowmoderatelow 4. Faulty hardware parts moderate 3. Design and software bugs moderatehighlow 2. Communication Failure moderate 1. Project falls behind schedule RatingImpactChanceRisk Risk Analysis

Budget $250 per member $1000 team budget Xilinx Virtex II FPGA Housing

Questions + Comments