12004 MAPLDVHDL Synthesis Introduction VHDL Synthesis for High-Reliability Systems 2004 MAPLD International Conference Washington, D.C. September 7, 2004.

Slides:



Advertisements
Similar presentations
VHDL 5 FINITE STATE MACHINES (FSM) Some pictures are obtained from FPGA Express VHDL Reference Manual, it is accessible from the machines in the lab at.
Advertisements

Tutorial 2 Sequential Logic. Registers A register is basically a D Flip-Flop A D Flip Flop has 3 basic ports. D, Q, and Clock.
©2004 Brooks/Cole FIGURES FOR CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC Click the mouse to move to the next page. Use the ESC key to exit this chapter. This.
CWRU EECS 317 EECS 317 Computer Design LECTURE 6: State machines Instructor: Francis G. Wolff Case Western Reserve University This.
28/10/2007DSD,USIT,GGSIPU1 Latch & Register Inference.
L18 – VHDL for other counters and controllers. Other counters  More examples Gray Code counter Controlled counters  Up down counter  Ref: text Unit.
12004 MAPLD/1002??? When Should You and When Should You Not Use VHDL? Richard B. Katz NASA Office of Logic Design 2004 MAPLD International Conference September.
History TTL-logic PAL (Programmable Array Logic)
Arbitrary Waveform Discussion 5.5 Example 34.
Latches and Flip-Flops
1 VLSI DESIGN USING VHDL Part II A workshop by Dr. Junaid Ahmed Zubairi.
Ring Counter Discussion D5.3 Example 32. Ring Counter if rising_edge(CLK) then for i in 0 to 2 loop s(i)
Registers VHDL Tutorial R. E. Haskell and D. M. Hanna T2: Sequential Logic Circuits.
6/27/20061 Sequence Detectors Lecture Notes – Lab 5 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
6/12/20151 Sequence Detectors Lecture Notes – Lab 4 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
Counters Discussion D5.3 Example 33. Counters 3-Bit, Divide-by-8 Counter 3-Bit Behavioral Counter in Verilog Modulo-5 Counter An N-Bit Counter.
Latches and Flip-Flops Discussion D4.1 Appendix J.
Dr. Turki F. Al-Somani VHDL synthesis and simulation – Part 1 Microcomputer Systems Design (Embedded Systems)
Introduction to VHDL (part 2)
12004 MAPLDAerospace Mishaps and Lessons Learned 2004 MAPLD International Conference Washington, D.C. September 7, 2004.
Finite State Machines. Binary encoded state machines –The number of flip-flops is the smallest number m such that 2 m  n, where n is the number of states.
Designing with FPGAs ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
ENG241 Digital Design Week #6 Sequential Circuits (Part A)
VHDL Introduction. V- VHSIC Very High Speed Integrated Circuit H- Hardware D- Description L- Language.
ENG2410 Digital Design LAB #6 LAB #6 Sequential Logic Design (Flip Flops)
Copyright © 1997 Altera Corporation & 提供 What is VHDL Very high speed integrated Hardware Description Language (VHDL) –is.
ENG241 Digital Design Week #8 Registers and Counters.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
12005 MAPLDDesign Integrity - Introduction Design Integrity 2005 MAPLD International Conference Washington, D.C. September 6, 2005.
Lecture 7 Chap 9: Registers Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
VHDL Discussion Finite State Machines
1 RAM. 2 PS2_Keyboard: entity work.Keyboard generic map (AddressBits => ADDRESSLENGTH) port map (Reset => Reset, Clock => ClockVGA, PS2Clock => PS2Clock,
ECE-C302 Serial Addition – A Finite State Machine 1. Serial Parity Checker 2. Decimal Serial Adder 3. Radix r Serial Adder.
VHDL Discussion Finite State Machines IAY 0600 Digital Systems Design Alexander Sudnitson Tallinn University of Technology 1.
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
 Seattle Pacific University EE Logic System DesignCounters-1 Shift Registers DQ clk DQ DQ ShiftIn Q3Q3 Q2Q2 DQ Q1Q1 Q0Q0 A shift register shifts.
CEC 220 Digital Circuit Design Latches and Flip-Flops Monday, March 03 CEC 220 Digital Circuit Design Slide 1 of 19.
CEC 220 Digital Circuit Design VHDL in Sequential Logic Wednesday, March 25 CEC 220 Digital Circuit Design Slide 1 of 13.
ENG241 Digital Design Week #7 Sequential Circuits (Part B)
VHDL 7: use of signals v.5a1 VHDL 7 Use of signals In processes and concurrent statements.
July 2, 2001Systems Architecture I1 Systems Architecture II (CS 282) Lab 3: State Elements, Registers, and Memory * Jeremy R. Johnson Monday July 2, 2001.
George Mason University Behavioral Modeling of Sequential-Circuit Building Blocks ECE 545 Lecture 8.
Registers and Counters Discussion D8.1. Logic Design Fundamentals - 3 Registers Counters Shift Registers.
Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams
Combinational logic circuit
LAB #6 Sequential Logic Design (Flip Flops, Shift Registers)
Finite State Machines (part 1)
Week #7 Sequential Circuits (Part B)
Describing Combinational Logic Using Processes
Registers and Counters
B e h a v i o r a l to R T L Coding
Part II A workshop by Dr. Junaid Ahmed Zubairi
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
VHDL 5 FINITE STATE MACHINES (FSM)
In processes and concurrent statements
Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
RTL Style در RTL مدار ترتيبي به دو بخش (تركيبي و عناصر حافظه) تقسيم مي شود. مي توان براي هر بخش يك پروسس نوشت يا براي هر دو فقط يك پروسس نوشت. مرتضي صاحب.
Hardware Description Languages
Non-synthesizable VHDL Poor Design Practices
VHDL Introduction.
Figure 8.1. The general form of a sequential circuit.
Finite State Machines (part 1)
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
Sequntial-Circuit Building Blocks
(Sequential-Circuit Building Blocks)
EEL4712 Digital Design (Midterm 1 Review).
Presentation transcript:

12004 MAPLDVHDL Synthesis Introduction VHDL Synthesis for High-Reliability Systems 2004 MAPLD International Conference Washington, D.C. September 7, 2004

22004 MAPLDVHDL Synthesis Introduction Seminar Leaders And Practicing Design Engineers Jonathan AlexanderActel Corporation Rod BartoNASA Office of Logic Design Melanie BergBall Aerospace Jeff CotnerNASA Johnson Space Center Marty FraemanApplied Physics Laboratory Rich KatzNASA Office of Logic Design Igor KleynerNASA Office of Logic Design

32004 MAPLDVHDL Synthesis Introduction Training vs. Education The NASA Office of Logic Design works to educate design engineers, not train them. –Training promotes rote responses –Education promotes thinking and the ability to adapt to and cope with new situations. Hence, MAPLD hosts seminars and not training sessions.

42004 MAPLDVHDL Synthesis Introduction Design Seminars The field is complex and dynamic and most definitely not static. Most examples are from or are derived from actual flight designs and are not academic exercises. Many ways to accomplish functions, some better than others, often depending on the situation. Contribute: Discuss the topics presented, disagree with them, present interesting cases you wish to share, and present better ideas. Do not sit there quietly and expect to be treated like a cocker spaniel being trained and drilled to emit Pavlovian responses in response to stimuli (bell for dogs, donuts for engineers).

52004 MAPLDVHDL Synthesis Introduction Material Material will be made available on –CD-ROM –Hardcopy –klabs.org All public domain, you may use the material as you wish.

62004 MAPLDVHDL Synthesis Introduction Barto's Law: Every circuit is considered guilty until proven innocent.

72004 MAPLDVHDL Synthesis Introduction A VHDL Synthesis Issue: Synchronization Circuits

82004 MAPLDVHDL Synthesis Introduction Intended Circuit Synchronizer with Leading Edge Detect

92004 MAPLDVHDL Synthesis Introduction VHDL Code entity EDGE_DETECT_SYNC is generic ( RESET_LEVEL : STD_LOGIC := '1' ); port ( CLK : in STD_LOGIC; RESET : in STD_LOGIC; INPUT : in STD_LOGIC; LED_OUT : out STD_LOGIC; TED_OUT : out STD_LOGIC ); end EDGE_DETECT_SYNC; architecture BEHAVIORAL of EDGE_DETECT_SYNC is signal IN_BETWEEN : STD_LOGIC; signal DATA_OUT : STD_LOGIC; begin FF1 : process(RESET, CLK) begin if (RESET = RESET_LEVEL) then IN_BETWEEN <= '0'; elsif rising_edge(CLK) then IN_BETWEEN <= INPUT; end if; end process; FF2 : process(RESET, CLK) begin if (RESET = RESET_LEVEL) then DATA_OUT <= '0'; elsif rising_edge(CLK) then DATA_OUT <= IN_BETWEEN; end if; end process; LED_OUT <= (not DATA_OUT) and IN_BETWEEN; TED_OUT <= DATA_OUT and (not IN_BETWEEN); end BEHAVIORAL;

MAPLDVHDL Synthesis Introduction Synthesized Circuit Not pleasant to read

MAPLDVHDL Synthesis Introduction Enjoy your seminar!