Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics VHDL register-transfer modeling: –basics using traffic light controller; –synthesis.

Slides:



Advertisements
Similar presentations
VHDL Lecture 1 Megan Peck EECS 443 Spring 08.
Advertisements

COE 405 VHDL Basics Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Dr. Aiman H. El-Maleh Computer Engineering.
Combinational Logic.
CSE 201 Computer Logic Design * * * * * * * Verilog Modeling
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
Mridula Allani Fall 2010 (Refer to the comments if required) ELEC Fall 2010, Nov 21(Adopted from Profs. Nelson and Stroud)
Dr. Turki F. Al-Somani VHDL synthesis and simulation – Part 2 Microcomputer Systems Design (Embedded Systems)
Sistemas Digitais I LESI - 2º ano Lesson 5 - VHDL U NIVERSIDADE DO M INHO E SCOLA DE E NGENHARIA Prof. João Miguel Fernandes Dept.
Introduction to VHDL CSCE 496/896: Embedded Systems Witawas Srisa-an.
Kazi Fall 2006 EEGN 4941 EEGN-494 HDL Design Principles for VLSI/FPGAs Khurram Kazi Some of the slides were taken from K Gaj’s lecture slides from GMU’s.
ECE C03 Lecture 141 Lecture 14 VHDL Modeling of Sequential Machines Hai Zhou ECE 303 Advanced Digital Design Spring 2002.
VHDL. What is VHDL? VHDL: VHSIC Hardware Description Language  VHSIC: Very High Speed Integrated Circuit 7/2/ R.H.Khade.
VHDL Training ©1995 Cypress Semiconductor 1 Introduction  VHDL is used to:  document circuits  simulate circuits  synthesize design descriptions 
Verilog Basics Nattha Jindapetch November Agenda Logic design review Verilog HDL basics LABs.
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
Data Flow Modeling of Combinational Logic Simple Testbenches
Graduate Computer Architecture I VHDL Structure and Testing Michael Sorensen.
L16 – Testbenches for state machines. VHDL Language Elements  More examples HDL coding of class examples Testbench for example  Testing of examples.
VHDL Project I: Introduction to Testbench Design Matthew Murach Slides Available at:
VHDL Introduction. V- VHSIC Very High Speed Integrated Circuit H- Hardware D- Description L- Language.
VHDL in 1h Martin Schöberl. AK: JVMHWVHDL2 VHDL /= C, Java,… Think in hardware All constructs run concurrent Different from software programming Forget.
Language Concepts Ver 1.1, Copyright 1997 TS, Inc. VHDL L a n g u a g e C o n c e p t s Page 1.
1 ECE 545—Digital System Design with VHDL Lecture 6 Behavioral VHDL Coding (for Synthesis): Finite State Machines and ASMs 9/30/08.
Copyright © 1997 Altera Corporation & 提供 What is VHDL Very high speed integrated Hardware Description Language (VHDL) –is.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Basics of register-transfer design: –data paths and controllers; –ASM charts. Pipelining.
1 ECE 545 – Introduction to VHDL Dataflow Modeling of Combinational Logic Simple Testbenches ECE 656. Lecture 2.
Copyright(c) 1996 W. B. Ligon III1 Getting Started with VHDL VHDL code is composed of a number of entities Entities describe the interface of the component.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
M. Balakrishnan Dept of Computer Science & Engg. I.I.T. Delhi
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
Hardware Description Languages Digital Logic Design Instructor: Kasım Sinan YILDIRIM.
Modern VLSI Design 4e: Chapter 5 Copyright  2008 Wayne Wolf Topics n Sequential machine implementation: –clocking. n Sequential machine design.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Modeling with hardware description languages (HDLs).
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
Hardware languages "Programming"-language for modelling of (digital) hardware 1 Two main languages: VHDL (Very High Speed Integrated Circuit Hardware Description.
Lecture 7 Chap 9: Registers Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
Introduction to ASIC flow and Verilog HDL
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
CEC 220 Digital Circuit Design VHDL in Sequential Logic Wednesday, March 25 CEC 220 Digital Circuit Design Slide 1 of 13.
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Basics of register-transfer design: –data paths and controllers; –ASM.
VHDL Programming Fundamentals Presented By Dr. Pradyut Kumar Biswal Department of Electronics, IIIT Bhubaneswar.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
George Mason University Behavioral Modeling of Sequential-Circuit Building Blocks ECE 545 Lecture 8.
Lecture #12 Page 1 ECE 4110– Digital Logic Design Lecture #12 Agenda 1.VHDL : Behavioral Design (Processes) Announcements 1.n/a.
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Verilog register-transfer modeling: –basics using traffic light controller;
Combinational logic circuit
Introduction To VHDL 홍 원 의.
Basic Language Concepts
Subject Name: FUNDAMENTALS OF HDL Subject Code: 10EC45
B e h a v i o r a l to R T L Coding
Topics Modeling with hardware description languages (HDLs).
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
LIBRARY IEEE; Include Libraries for standard logic data types USE IEEE.STD_LOGIC_1164.ALL; -- Entity name normally the same as file name.
Topics Modeling with hardware description languages (HDLs).
Sequential-Circuit Building Blocks
LIBRARY IEEE; Include Libraries for standard logic data types USE IEEE.STD_LOGIC_1164.ALL; -- Entity name normally the same as file name.
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
VHDL VHSIC Hardware Description Language VHSIC
VHDL (VHSIC Hardware Description Language)
Behavioral Modeling of Sequential-Circuit Building Blocks
Sequntial-Circuit Building Blocks
Figure 8.1. The general form of a sequential circuit.
Sequntial-Circuit Building Blocks
4-Input Gates VHDL for Loops
System Controller Approach
(Sequential-Circuit Building Blocks)
EEL4712 Digital Design (VHDL Tutorial).
Presentation transcript:

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics VHDL register-transfer modeling: –basics using traffic light controller; –synthesis.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf VHDL Combines a general-purpose programming language and an HDL. –Modeled on Ada programming language. VHDL is a rich language: –modules; –abstract data types. VHDL is case-insensitive.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Abstract data types package lights is ---this is a comment subtype light is bit_vector(0 to 1); constant red : light : B”00”; constant green : light : B”01”; constant yellow : light : B”10”; end lights;

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf VHDL entities An entity defines the interface to the module. May plug various descriptions into the entity interface: –behavioral; –RT; –gate.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf VHDL constants Bit constant: –‘0’, ‘1’ Bit vector constant: –B”0101”

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Traffic light entity declaration entity tlc_fsm is port( CLOCK: in BIT; -- machine clock reset : in BIT; -- global reset cars : in BIT; -- car signal short, long : in BIT; highway_light : out light := green; farm_light : out light := red; start_timer : out BIT );

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf VHDL processes A process is a unit of parallel execution. –All processes in an entity execute in parallel. Processes are used to build up behavior. Our RT model will have at least two processes: –combinational process for the logic; –sequential process for the flip-flops.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf VHDL process example combin : process(state,hg) begin highway_light <= green; end process combin; Sensitivity list Event assignment

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf VHDL formulas

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf VHDL data types

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Operations in the process if (b or c) = ‘1’ then y <= ‘1’; else y <= ‘0’; if (b or c) = ‘1’ then y <= ‘1’; else z <= a or b; y assigned value in both cases different net assigned in true, false cases

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Conditional assignments if (b or c) = ‘1’ then y <= ‘1’; else z <= a or b; Simulation: –Condition is tested based on current signal states. –Only one net gets an event. Synthesis: –Creates don’t-cares for y and z.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Some useful constructs avec: out std_logic_vector(11 downto 0) vector constant zerovec: std_logic_vector(0 to 7) := B” ”; constant vector sum <= a + b; adder

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Structure of a VHDL model Library use statements. Entity declaration. Architecture declaration. –Processes, etc. that form the architecture. –An entity may have multiple instantiations.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf A synthesizable VHDL archtiecture Declarations of types and signals. Combinational process. –May be several combinational processes that communicate via signals. Synchronous process.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf A synthesizable synchronous process sync: process(CLOCK) begin wait until CLOCK’event and CLOCK = ‘1’; ctrl_state <= ctrl_next; end process sync; Transfers next state to present state Ensures evaluation on clock edge

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Testbench structure Unit under test (UUT) testbench tester

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf VHDL testbed organization Library calls. Entity declaration. –Generally has no inputs or outputs. Architecture section. –UUT is a component. –Testbench logic is a process.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Testbench tester process tester: process begin reset <= ‘1’; clock <= ‘0’; wait for 5 ns; clock <= ‘1’; wait for 5 ns; assert(highway_light = green); Clock tick Checks output of UUT