SoC Design Flow and Tools

Slides:



Advertisements
Similar presentations
Basic Computer Skills Windows & the Internet.
Advertisements

Introduction to Eclipse. Start Eclipse Click and then click Eclipse from the menu: Or open a shell and type eclipse after the prompt.
Introduction to Computers Section 6A. home The Operating System (OS) The operating system (OS) is software that controls the interaction between hardware.
Verilog XL Tutorial By Greg Edmiston Scott McClure August 2004.
Finite Element Method FEM Dr. Mohammed Al-Hazmi ANSYS Basics Lecture 4.
Cadence Verilog Simulation Guide and Tutorial PART I ECE 4680 Computer Architecture Fall 2005.
How to use the VHDL and schematic design entry tools.
1 of 6 This document is for informational purposes only. MICROSOFT MAKES NO WARRANTIES, EXPRESS OR IMPLIED, IN THIS DOCUMENT. © 2007 Microsoft Corporation.
3 Copyright © 2004, Oracle. All rights reserved. Working in the Forms Developer Environment.
Applications Software
© 2010 IBM Corporation IBM Experience Modeler - Theme Editor Installing Python Image Library Presenter’s Name - Presenter’s Title DD Month Year.
1 LINUX Desktops Using the GNOME and KDE Desktops Learning Red Hat Enterprise Linux & Fedora, 4th Edition By Bill McCarty, 2004.
Exploring the Basics of Windows XP
Access Tutorial 10 Automating Tasks with Macros
2. Introduction to the Visual Studio.NET IDE 2. Introduction to the Visual Studio.NET IDE Ch2 – Deitel’s Book.
Tools - Day 1 - Information Resources Chapter 10 slide 1Version 1.5 FPGA Tools Training Course Information Resources.
CIS 116SUNY Ulster Chapter L5 – The GUI Karl Wick.
ROOT An object oriented HEP analysis framework.. Computing in Physics Physics = experimental science =>Experiments (e.g. at CERN) Planning phase Physics.
Project 3 File, Document, Folder Management, Windows XP Explorer Windows XP Service Pack 2 Edition Comprehensive Concepts and Techniques.
NOTE: To change the image on this slide, select the picture and delete it. Then click the Pictures icon in the placeholder to insert your own image. WEB.
INTRODUCTION TO FRONTPAGE. TOPICS TO BE DISCUSSED……….  Introduction Introduction  Features Features  Starting Front Page Starting Front Page  Components.
Simulink ® Interface Course 13 Active-HDL Interfaces.
Mentor Tools tutorial Bold Browser Design Manager Design Architect Library Components Quicksim Creating and Compiling the VHDL Model.
Simulink ® Interface Course 13 Active-HDL Interfaces.
2. Introduction to the Visual Studio.NET IDE. Chapter Outline Overview of the Visual Studio.NET IDE Overview of the Visual Studio.NET IDE Menu Bar and.
Accelerated Waveform Design Entry and Simulation Course 16.
Active-HDL Interfaces Debugging C Code Course 10.
3 Copyright © 2004, Oracle. All rights reserved. Working in the Forms Developer Environment.
Active-HDL Interfaces Building VHPI Applications C Compilation Course 9.
Interfaces to External EDA Tools Debussy Denali SWIFT™ Course 12.
Introducing… Microsoft Windows VISTA Introducing… Microsoft Windows VISTA.
Support.ebsco.com Introduction to EBSCOhost Tutorial.
Visual Basic.NET BASICS Lesson 1 A First Look at Microsoft Visual Basic.NET.
Taskbar. START TASKBAR Programs Start Menu has the seven basics commands: Programs, Documents, Settings, Find, Help, Run, and Shut down.
Fall 08, Oct 31ELEC Lecture 8 (Updated) 1 Lecture 8: Design, Simulation Synthesis and Test Tools ELEC 2200: Digital Logic Circuits Nitin Yogi
Programmable Logic Training Course HDL Editor
Microsoft Visual Basic 2005 BASICS Lesson 1 A First Look at Microsoft Visual Basic.
Introduction to Matlab & Data Analysis 2015 In this tutorial we will: Build a practical application using GUIDE Learn more about graphical user interface.
Tools - Design Manager - Chapter 6 slide 1 Version 1.5 FPGA Tools Training Class Design Manager.
Introduction to EBSCOhost Tutorial support.ebsco.com.
Using Simulator With Undertow Suite. Source environment variables For example, envsource has all the environment variables set up. You can change the.
XP Tutorial 8 New Perspectives on Microsoft Windows XP 1 Microsoft Windows XP Object Linking and Embedding Tutorial 8.
Catholic University College of Ghana Fiapre-Sunyani INFORMATION TECHNOLOGY I Audrey Asante, Faculty of ICST Graphic User Interface Tutorials and Documentation.
PYP002 Intro.to Computer Science Microsoft Word1 Lab 04 - a Microsoft Windows Applications Common Features.
4 Copyright © 2004, Oracle. All rights reserved. Creating a Basic Form Module.
THE C PROGRAMMING ENVIRONMENT. Four parts of C environment  Main menu  Editor status line and edit window  Compiler message window  “Hot Keys” quick.
XP New Perspectives on Microsoft Office Access 2003, Second Edition- Tutorial 8 1 Microsoft Office Access 2003 Tutorial 8 – Integrating Access with the.
CHANGING THE VOLUME Click the volume icon in the bottom right hand corner of the screen.
Introduction to CADStat. CADStat and R R is a powerful and free statistical package [
Understanding Web Browsers Presented By: Philip Slama Nancy Solomon CGS 1060.
1 VHDL & Verilog Simulator. Modelsim. 2 Change the directory to where your files exist (All of the files must be in a same folder). Modelsim.
Tutorial for Modelsim 1 Installation Download the Modelsim Student Edition: Follow the.
VLSI Synthesis and Simulation Tools Nitin Yogi 01/09/2009
Introduction to Vivado
2 At the top of the zone in which you want to add the Web Part, click Add a Web Part. In the Add Web Parts to [zone] dialog box, select the check box of.
Visual Basic Code & No.: CS 218
2 At the top of the zone in which you want to add the Web Part, click Add a Web Part. In the Add Web Parts to [zone] dialog box, select the check box of.
Tutorial Explora Tutorial for Educators:
ECEN/MAE 3723 – Systems I MATLAB Lecture 2.
WORKSHOP 1 INTRODUCTION.
Tutorial Introduction to support.ebsco.com.
Start a Test Session Online
Finding Magazine and Journal Articles in
Exploring the Basics of Windows XP
Microsoft Office Access 2003
Microsoft Office Access 2003
Tutorial Introduction to help.ebsco.com.
Presentation transcript:

SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6

Outline Introduction Setting up the environment Invoking NCLaunch Components of NCLaunch Examples LAB Reference

Introduction NCLaunch is a graphical user interface that helps you manage large design projects and lets you configure and launch your Cadence simulation. It help user simulate Verilog, VHDL, or mixed-language design.

Setting up the environment Creating a new directory under your home directpry to store all your vhdl/verilog design. /home/username/ >mkdir test It is important that you must have two files in this new directory before you begin working. These are the cds.lib file and the hdl.var file. cds.lib SOFTINCLUDE $CDS_INST_DIR/tools/inca/files/cds.lib DEFINE test /home/username/test hdl.var SOFTINCLUDE $CDS_INST_DIR/tools/inca/files/hdl.var DEFINE work test

Invoking NCLaunch Do with thefollowing command in a shell windows: >cd /usr/local/cadence/ldv5.0/tools/bin >nclaunch & (若可在自己的工作目錄下(home/username/)直接打nclaunch &也可以)

Components of NCLaunch Menu Bar Toolbar Icons File Browser Design Area Console Window

Components of NCLaunch b c d e f g h a. Edit File. By selecting a file and clicking this icon, a text editor (defined in your Preferences) appears with the files contents to review or modify. b. Refresh. Updates your browsers with any changes. c. Compile VHDL Files (multi-step only). Compiles selected VHDL files that will appear as design units under your work library in the Library Browser. d. Compile Verilog Files (multi-step only). Compiles selected Verilog files that will e. Elaborate Files (multi-step only). By selecting the top level design unit and clicking this icon, your design will be elaborated. f. Run Simulation. Starts a simulation of your selected design. g. Browse Logfiles. Launches the NCBrowse message browser to analyze selected log files. h. Waveform Viewer. Starts a session of the waveform viewer tool with selected database files.

Examples Assume that we have two vhdl file (trial.vhd and test_trial.vhd) and cds.lib file and hdl.var file in the directory (/home/username/test). Step 1: Set Design Directory

Choose your design directory. Load the library file (load the cds.lib file that haven edited in your design directory). Set Work Library name as same as your directory name (set in cds.lib and hdl.var).

Assume that we have two VHDL file (trial. vhd and test_trial Assume that we have two VHDL file (trial.vhd and test_trial.vhd) and cds.lib file and hdl.var file in the directory (/home/username/test). Step 2: Compiler the two VHDL files then, it will produce two file

After Step 2, it will produce two file. (trial and test_trial) In this Step, you can also use the button in the toolbar.

Step 3: Elaborating the Design type command in the console windows >ncelab my_lib.top:behav nclaunch>ncelab test.trial:trial_rtl nclaunch>ncelab test.test_trial:tb_arch After it, the elaborated design hierarchy is stored in a simulation snapshot file, which is used by the simulator.

Step 4: Initiating the simulation type command in console window nclaunch>ncsim –gui test_trial & before invoking the Signalscan, it is important to select the signals to display on the waveform. Choose Select -> Signals from the menu of the simulator.

To invoke the Signal scan click on the button in the upper right corner.

LAB 1.Run this example throughout. 2.The waveform for the time range in 100ns, 250ns, 300ns. Mail three pictures to TA. 3.deadline: 10/13 12:00PM 4. http://embedded.cs.ccu.edu.tw/~suner/codesign.htm

Reference 1. Affirma NC VHDL Simulator Tutorial usr/local/cadence/ldv5.0/doc/ncvhdltut/ncvhdltut.pdf. 2. NCLaunch User Guide usr/local/cadence/ldv5.0/doc/nclaunch/ nclaunch.pdf. 3. Affirma NC VHDL Simulator Help usr/local/cadence/ldv5.0/doc/ncvhdl/ncvhdl.pdf. 4. Affirma NC Verilog Simulator Help usr/local/cadence/ldv5.0/doc/ ncvlog/ncvlog.pdf. 5. SignalScan Waves User Guide usr/local/cadence/ldv5.0/doc/ signalscanwaves/ signalscanwaves.pdf. 6. Cadence VHDL/Verilog Simulation Guide and Tutorial