INTERCONNECT MODELING M.Arvind 2nd M.E Microelectronics

Slides:



Advertisements
Similar presentations
Selected Design Topics. Integrated Circuits Integrated circuit (informally, a chip) is a semiconductor crystal (most often silicon) containing the electronic.
Advertisements

ECE 424 – Introduction to VLSI
EE141 © Digital Integrated Circuits 2nd Wires 1 The Wires Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital Integrated Circuits: A Design.
Wires.
Elettronica T A.A Digital Integrated Circuits © Prentice Hall 2003 Inverter CMOS INVERTER.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
A Look at Chapter 4: Circuit Characterization and Performance Estimation Knowing the source of delays in CMOS gates and being able to estimate them efficiently.
Advance Nano Device Lab. Fundamentals of Modern VLSI Devices 2 nd Edition Yuan Taur and Tak H.Ning 0 Ch5. CMOS Performance Factors.
Fall 06, Sep 19, 21 ELEC / Lecture 6 1 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic.
Digital Integrated Circuits© Prentice Hall 1995 Interconnect COPING WITH INTERCONNECT.
Adapted from Digital Integrated Circuits, 2nd Ed. 1 IC Layout.
EE466: VLSI Design Lecture 11: Wires
EE 447 VLSI Design Lecture 5: Wires. EE 447VLSI Design 6: Wires2 Outline Introduction Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering.
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 14: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
June 20 th 2004University of Utah1 Microarchitectural Techniques to Reduce Interconnect Power in Clustered Processors Karthik Ramani Naveen Muralimanohar.
© Digital Integrated Circuits 2nd Inverter Impact of Interconnect  Interconnection  Fundamental limitation of Digital Technology at all scales  Classes.
04/11/02EECS 3121 Lecture 26: Interconnect Modeling, continued EECS 312 Reading: 8.2.2, (text) HW 8 is due now!
The Wire Scaling has seen wire delays become a major concern whereas in previous technology nodes they were not even a secondary design issue. Wire parasitic.
9/13/05ELEC / Lecture 61 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
מודלים של חיבורי ביניים מודלים חשמליים של חיבורי ביניים עבור מעגלי VLSI פרופ ’ יוסי שחם המחלקה לאלקטרוניקה פיזיקלית, אוניברסיטת ת ” א.
EE141 © Digital Integrated Circuits 2nd Wires 1 Digital Integrated Circuits A Design Perspective The Wire Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
04/09/02EECS 3121 Lecture 25: Interconnect Modeling EECS 312 Reading: 8.3 (text), 4.3.2, (2 nd edition)
Interconnect and Packaging Lecture 2: Scalability
Lecture #25a OUTLINE Interconnect modeling
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
Introduction to CMOS VLSI Design Interconnect: wire.
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 9.1 EE4800 CMOS Digital IC Design & Analysis Lecture 9 Interconnect Zhuo Feng.
Gate Sizing by Mathematical Programming Prof. Shiyan Hu
Circuit characterization and Performance Estimation
Lecture 21, Slide 1EECS40, Fall 2004Prof. White Lecture #21 OUTLINE –Sequential logic circuits –Fan-out –Propagation delay –CMOS power consumption Reading:
On-Chip Interconnect Analysis and Evaluation of Delay, Power, and Bandwidth Metrics under Different Design Goals.
Power, Energy and Delay Static CMOS is an attractive design style because of its good noise margins, ideal voltage transfer characteristics, full logic.
A Methodology for Interconnect Dimension Determination By: Jeff Cobb Rajesh Garg Sunil P Khatri Department of Electrical and Computer Engineering, Texas.
INAC The NASA Institute for Nanoelectronics and Computing Purdue University Circuit Modeling of Carbon Nanotubes and Their Performance Estimation in VLSI.
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 7 Programmable.
Determining the Optimal Process Technology for Performance- Constrained Circuits Michael Boyer & Sudeep Ghosh ECE 563: Introduction to VLSI December 5.
Elmore Delay, Logical Effort
Chapter 07 Electronic Analysis of CMOS Logic Gates
Digital Integrated Circuits© Prentice Hall 1995 Interconnect COPING WITH INTERCONNECT.
Limitations of Digital Computation William Trapanese Richard Wong.
EE141 © Digital Integrated Circuits 2nd Wires 1 Digital Integrated Circuits A Design Perspective The Interconnect Jan M. Rabaey Anantha Chandrakasan Borivoje.
EE141 © Digital Integrated Circuits 2nd Wires 1 Digital Integrated Circuits A Design Perspective The Wire Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
© Digital Integrated Circuits 2nd Interconnect Digital Integrated Circuits A Design Perspective Coping with Interconnect Jan M. Rabaey Anantha Chandrakasan.
© Digital Integrated Circuits 2nd Interconnect ECE 558/658 : Lecture 20 Interconnect Design (Chapter 9) Clock distribution (Chapter ) Atul Maheshwari.
1 Distributed Loss Compensation for Low-latency On-chip Interconnects Class Presentation For Advanced VLSI Design Course Instructor: Dr.Fakhraie Presented.
Linear Delay Model In general the propagation delay of a gate can be written as: d = f + p –p is the delay due to intrinsic capacitance. –f is the effort.
Session 5: Projects 1. Physical Limits of Technology Scaling : 2 SCALING AND EFFICIENCY.
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Wire delay. n Buffer insertion. n Crosstalk. n Inductive interconnect. n Switch logic.
VLSI CIRCUIT ELEMENTS - Prof. Rakesh K. Jha
EE 4271 VLSI Design, Fall 2013 Static Timing Analysis and Gate Sizing Optimization.
© Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits A Design Perspective The Inverter Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
Chapter 4: Secs ; Chapter 5: pp
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Wire delay. n Buffer insertion. n Crosstalk. n Inductive interconnect.
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits Yehea I. Ismail and Eby G. Friedman, Fellow, IEEE.
1 Modeling and Optimization of VLSI Interconnect Lecture 2: Interconnect Delay Modeling Avinoam Kolodny Konstantin Moiseev.
ELEC Digital Logic Circuits Fall 2015 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering.
CSE477 L27 System Interconnect.1Irwin&Vijay, PSU, 2003 CSE477 VLSI Digital Circuits Fall 2003 Lecture 27: System Level Interconnect Mary Jane Irwin (
ELEC Digital Logic Circuits Fall 2014 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering.
CARBON NANOTUBES (A SOLUTION FOR IC INTERCONNECT) By G. Abhilash 10H61D5720.
Damu, 2008EGE535 Fall 08, Lecture 51 EGE535 Low Power VLSI Design Lecture #5 & 6 CMOS Inverter.
Wires & wire delay Lecture 9 Tuesday September 27, 2016.
Circuit characterization and Performance Estimation
Circuits and Interconnects In Aggressively Scaled CMOS
Circuit Characterization and Performance Estimation
Wire Indctance Consequences of on-chip inductance include:
Reading (Rabaey et al.): Sections 3.5, 5.6
Presentation transcript:

INTERCONNECT MODELING M.Arvind 2nd M.E Microelectronics

OVERVIEW Introduction to On-Chip interconnects Modeling the parasitics Elmore Delay Model Repeater insertion Min delay condition Power Model Optimizing Power

Introduction to On-chip interconnects Wires linking the transistors together Three types of interconnects : Local Semi-global and Global interconnect

Introduction to On-chip interconnects Can be modeled as R, RC, LC, RLC or RLGC network. Power lines R,RL Signal lines C, RC Clock lines & buses RLC

Modeling a piece of wire

Capacitance Modeling Capacitance cw = 2 * (cg + cf * cc ) cf is the coupling factor

Capacitance Modeling (cont) cg has 2 components: cg1, cg2

Simplified Capacitance Model For a circuit designer ILDT, h and ε are fixed. Therefore,

Fringing Effects

Modeling Wire Resistance

Pros and Cons of Cu Pros Cons Better electro-migration resistance Cu atoms diffuses into SiO2 Cladding layers of TiN, Si3N4 used to prevent this Increases the resistance

Elmore Delay Model Delay of a RC network is given by

Delay of a long wire Delay grows quadratic Hence need repeaters

Repeater Insertion Repeaters are placed to reduce delay

Repeater Insertion (cont) Delay grows linear

Modeling the repeater Repeater is a large inverter (5-25μm) placed in-between interconnect lines. Cgate, Cp α size of the repeater RT = VDD/2*Iavg, where Iavg = ∫Iddt in the interval Td

Modeling the repeater (cont)

Delay equations Delay of an interconnect segment is Total delay is

Optimal Repeater Size and Spacing The minimum delay condition

Power modeling Total power dissipated in the interconnect network is given by Ptotal= Pdy + Psc + Pleak Pdy = Ctotal V²ddf Psc = Isc per μm Vdd Wtotalftt Pleak = Ileak per μm WtotalVdd Where  is the switching factor, tt is the time taken for the input to transit from Vthn to Vdd – Vthp

Power modeling (cont)

Optimizing power Min delay does not imply min power

Techniques to Reduce Power Can be reduced by decreasing Supply voltage Size of repeaters Number of repeaters

Optimal Power Delay Tradeoff

References William J.Dally John W.Poulton., ”Digital Systems Engineering” Cambridge University Press,1998 Kaustav Banerjee et al., ”A power-optimal insertion methodology for global interconnects in nanometer designs” IEEE TRANSACTION ON ELECTRON DEVICES, VOL. 49, NO. 11, NOVEMBER 2002 Kaustav Banerjee et al., ”A global interconnect optimization scheme for nanometer scale VLSI with implications for latency, bandwidth, and power dissipation” IEEE TRANSACTION ON ELECTRON DEVICES. VOL. 51, NO.2, FEBRUARY 2004.

Thank You