CS/CoE 536 : Lockwood 1 CS/CoE 536 Reconfigurable System On Chip Design Lecture 7 : Demonstration of Machine Problem 2 : SPAM FILTER Washington University.

Slides:



Advertisements
Similar presentations
VHDL in digital circuit synthesis (tutorial) dr inż. Miron Kłosowski EA 309
Advertisements

VHDL Lecture 1 Megan Peck EECS 443 Spring 08.
VHDL Programming in CprE 381 Zhao Zhang CprE 381, Fall 2013 Iowa State University Last update: 9/15/2013.
Top-level VHDL Designs
VHDL revision 15a1 VHDL revision. VHDL revision 15a2 Q1 A clocked 4-to-2-bit encoder circuit (with synchronous reset) has the following interfaces: RESET:
6/12/20151 Sequence Detectors Lecture Notes – Lab 4 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
Dr. Turki F. Al-Somani VHDL synthesis and simulation – Part 2 Microcomputer Systems Design (Embedded Systems)
Finite State Machines Discussion D7.1 Mealy and Moore Machines.
ECE 331 – Digital System Design Course Introduction and VHDL Fundamentals (Lecture #1)
Random-Access Memory Distributed and Block RAM Discussion D10.3 Example 41.
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
CS/CoE 536 : Lockwood 1 CS/CoE 536 Reconfigurable System On Chip Design Lecture 9 : MP3 Working Draft Washington University Fall 2002
The FPX KCPSM Module Exercise 1 Henry Fu The Layered Protocol Wrappers Exercise: Network Data Encryption / Decryption Using ROT13 Algorithm Henry Fu Washington.
The Layered Protocol Wrappers 1 Florian Braun, Henry Fu The Layered Protocol Wrappers: A Solution to Streamline Networking Functions to Process ATM Cells,
Graduate Computer Architecture I VHDL Structure and Testing Michael Sorensen.
L16 – Testbenches for state machines. VHDL Language Elements  More examples HDL coding of class examples Testbench for example  Testing of examples.
VHDL Project I: Introduction to Testbench Design Matthew Murach Slides Available at:
12004 MAPLDSynthesis Issues Synthesis Issues Demonstrated with a Simple Finite State Machine Using Gray Codes.
Gigabit Kits Workshop August Washington WASHINGTON UNIVERSITY IN ST LOUIS IP Processing Wrapper Tutorial Gigabitkits Workshop August 2001
ENG241 Digital Design Week #4 Combinational Logic Design.
CS/CoE 536 : Lockwood 1 CS/CoE 536 Reconfigurable System On Chip Design Lecture 4 : Demonstration of Machine Problem 1 : CAM-based Firewall Washington.
Language Concepts Ver 1.1, Copyright 1997 TS, Inc. VHDL L a n g u a g e C o n c e p t s Page 1.
Field Programmable Port Extender (FPX) 1 Simulation of the Hello World Application for the Field-programmable Port Extender (FPX) John W. Lockwood, Washington.
CS/CoE 536 : Lockwood 1 CS/CoE 536 Reconfigurable System On Chip Design Lecture 4 : Demonstration of Machine Problem 1 : CAM-based Firewall Washington.
EE3A1 Computer Hardware and Digital Design Lecture 5 Testbenches and Memories in VHDL.
Extensible Networking Platform Lockwood / Zuver - Applied Research Laboratory -- Extensible Networking Development of a System-On-Chip Extensible.
CS/CoE 536 : Lockwood 1 Project Integration : In order to ensure that projects can be integrated at the end of the semester, a few rules have been developed.
Introduction to VHDL Spring EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.
CPE 626 Advanced VLSI Design Lecture 6: VHDL Synthesis Aleksandar Milenkovic
4/19/20021 TCPSplitter: A Reconfigurable Hardware Based TCP Flow Monitor David V. Schuehler.
Lecture 13: Reconfigurable Computing Applications October 10, 2013 ECE 636 Reconfigurable Computing Lecture 11 Reconfigurable Computing Applications.
Hot Interconnects TCP-Splitter: A Reconfigurable Hardware Based TCP/IP Flow Monitor David V. Schuehler
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
L19 – Resolved Signals. Resolved Signals  What are resolved signals In systems In VHDL Resolution – Isn’t that for resolving conflicts?  Ref: text Unit.
George Mason University Simple Testbenches ECE 545 Lecture 4.
Assignment write a short notes on 1.Manufacturing Testing. 2.Functional Testing. 3.Files and Text I/O. 4.Differentiate the cpld and fpga architecture.
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
L20 – Register Set. The 430 Register Set  Not exactly a dual ported register set, but a dual drive register set.  Ref: text Unit 10, 17, 20 9/2/2012.
Field Programmable Port Extender (FPX) 1 Simulation of the Hello World Application for the Field-programmable Port Extender (FPX) Dave Lim and John Lockwood.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
VHDL Project I: Serial Adder Matthew Murach Slides Available at:
Slide 1 3.VHDL/Verilog Description Elements. Slide 2 To create a digital component, we start with…? The component’s interface signals Defined in MODULE.
ECE DIGITAL LOGIC LECTURE 20: REGISTERS AND COUNTERS Assistant Prof. Fareena Saqib Florida Institute of Technology Fall 2015, 11/19/2015.
PARBIT Tool 1 PARBIT Partial Bitfile Configuration Tool Edson L. Horta Washington University, Applied Research Lab August 15, 2001.
CS/CoE 536 : Lockwood 1 CS/CoE 536 Reconfigurable System On Chip Design Lecture 11 : Priority and Per-Flow Queuing in Machine Problem 3 (Revision 2) Washington.
Field Programmable Port Extender (FPX) 1 Modular Design Techniques for the Field Programmable Port Extender John Lockwood and David Taylor Washington University.
CS/CoE 536 : Lockwood 1 CS/CoE 536 Reconfigurable System On Chip Design Lecture 10 : MP3 Working Draft Washington University Fall 2002
1 Introduction to Engineering Spring 2007 Lecture 19: Digital Tools 3.
Washington University
Introduction to Vivado
4.VHDL/Verilog Hierarchical Description
Registers and Counters
ENG2410 Digital Design “Combinational Logic Design”
Dave Lim and John Lockwood Washington University,
ECE 4110–5110 Digital System Design
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
Revision Name __________ Student number______
Washington University
Washington University
Washington University
VHDL Hierarchy in XILINX
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
CPRE 583 Reconfigurable Computing
Washington University in St. Louis
Washington University
VHDL Introduction.
Behavioral Modeling of Sequential-Circuit Building Blocks
ECE 545 Lecture 5 Simple Testbenches.
Sequntial-Circuit Building Blocks
(Simple Testbenches & Arithmetic Operations)
Presentation transcript:

CS/CoE 536 : Lockwood 1 CS/CoE 536 Reconfigurable System On Chip Design Lecture 7 : Demonstration of Machine Problem 2 : SPAM FILTER Washington University Fall Chris Neely, Chris Zuver Copyright 2002

CS/CoE 536 : Lockwood 2 Where to begin… MP2 distribution revisions: –Synthesis timing has been changed to 33 MHz – regex_sim.vhd added to simulate the content matcher This file is non-synthesizable regex.edn added to rad-xcv2000e directory which describes to the backend tools how to build the content matcher. –regex_sim.vhd added to “make compile” –Speed grade corrected to “-6” as opposed to “-7” –Simple INPUT_CELLS.DAT provided. Each student must create using the “IP Test bench” their own simulation traffic. Add wrapper_app.vhd from MP1 into MP2’s distribution in vhdl directory to begin MP2.

CS/CoE 536 : Lockwood 3 IP TESTBENCH ip2fake writes output cells in simulation format. Change INPUT_CELLS.TBP in the sim directory Convert to packets –make input_cells Add wait_10 manually before each cells in INPUT_CELLS.DAT # example IP packet !TCP srcip f6e73 6f6c c4c 204e4f # after IP2FAKE new_cell A DD E 54CCFC1C FE F6E73 new_cell F6C ………

CS/CoE 536 : Lockwood 4 HEX  ASCII Conversion The NCHARGE test website has been updated to include a HEX to ASCII converter. Ex. “Consolidate amazing”  436F6E736F6C D617A696E67 Available at fpx2.arl.wustl.edu/cs536fpx2.arl.wustl.edu/cs536 –Under “ACSII  HEX” link

CS/CoE 536 : Lockwood 5 Adding Content_Matcher Add component regex_app to wrapper_module.vhd Instantiate regex_app in wrapper_module.vhd Signals previously connected to wrapper_app No changes since MP1. wrapper_app New signals to connect reg_ex and wrapper_app together Reg_ex Wrapper_app

CS/CoE 536 : Lockwood 6 Sample VHDL architecture structural of my_module is component flop32 Port( clk : in std_logic; Din : in std_logic_vector(31 downto 0); Dout : out std_logic_vector(31 downto 0)); end component; … other components … Begin DataReg: flop32 port map ( clk => clk, Din => d_mod_in, Dout => d_mod_out); … other connections … end structural; --Note: Yalamanchili pp describe instantiation

CS/CoE 536 : Lockwood 7 Match Signal Only valid during sof=‘1’ Signal should be flopped before “reading” matched for timing. sof sof_flop match match_flop 8 8 Valid ExternalValid Internal

CS/CoE 536 : Lockwood 8 Ready & Enable Signals Each component signals an active ready_l signal when the component is initialized and ready to receive data. The wrappers asserts enable_l active to signal that all components are initialized. Data is now capable of being injected into the circuit. Wrappers Reg_ex Wrapper_app Ready_l ready_l enable_l

CS/CoE 536 : Lockwood 9 Completing MP2 Change wrapper_app.vhd –Accept “match” signal –Account for new control packet with updated payload –Update CAMs to handle new “match” cam entries.