Speaker: Tsung-Yi Wu FPGA Design Flow (Part 2) : Simulation.

Slides:



Advertisements
Similar presentations
Xilinx 6.3 Tutorial Integrated Software Environment (ISE) Set up basic environment Select Gates or Modules to Be simulated (Insert Program Code) Run Waveform.
Advertisements

Verilog XL Tutorial By Greg Edmiston Scott McClure August 2004.
Simulation executable (simv)
1 Verilog Digital Computer Logic Kashif Bashir WWW: http//:
FPGA Design Flow Verilog RTL Coding Functional/Gate simulation & Verification Logic Synthesis Physical Layout Device Configuration ucf sdc Verilog test.
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
ECE 272 Xilinx Tutorial. Workshop Goals Learn how to use Xilinx to: Draw a schematic Create a symbol Generate a testbench Simulate your circuit.
ECE – 329 Fall 2007 Lab Manual for Xilinx Example: Design and simulation of a Half Adder Instructor: Dr.Botros.
Communication IC & Signal Processing Lab. Chih-Peng Fan1 PostSim CoreGenerator IP in ISE 5.1i with Verilog HDL.
Communication IC & Signal Processing Lab. Chih-Peng Fan1 PreSim CoreGenerator IP in ISE 5.1i with Verilog HDL.
Verilog Lab This presentation includes some material that is selected from BUCKNELL VERILOG HANDBOOK. Instructor: Dr. Charles Liu Prepared by John Ren.
Altera’s Quartus II Installation, usage and tutorials Gopi Tummala Lab/Office Hours : Friday 2:00 PM to.
© 2011 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
1 VERILOG Fundamentals Workshop סמסטר א ' תשע " ה מרצה : משה דורון הפקולטה להנדסה Workshop Objectives: Gain basic understanding of the essential concepts.
© 2003 Xilinx, Inc. All Rights Reserved CORE Generator System.
Synthesis Presented by: Ms. Sangeeta L. Mahaddalkar ME(Microelectronics) Sem II Subject: Subject:ASIC Design and FPGA.
Spring Introduction  Today’s tutorial focuses on introducing you to Xilinx ISE and Modelsim.  These tools are used for Verilog Coding Simulation.
© 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Design Flow FPGA Design Flow Workshop.
1 Introduction to Xilinx ISL8.1i Schematic Capture and VHDL 1.
1 Introduction to Xilinx ISL8.1i & 11.1 Schematic Capture 1.
Active-HDL Interfaces Debugging C Code Course 10.
1 An Update on Verilog Ξ – Computer Architecture Lab 28/06/2005 Kypros Constantinides.
Digital Systems Design Lab 1 TA : 曾興嘉
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Logic Design Process n Functional/ Non-functional requirements n Mapping into an FPGA n Hardware.
OVERVIEW OF OVERVIEW OF Spartan-3. DESIGNFLOW Translate Map Place & Route Plan & Budget HDL RTL Simulation Synthesize to create netlist Functional Simulation.
This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
Fall 08, Oct 31ELEC Lecture 8 (Updated) 1 Lecture 8: Design, Simulation Synthesis and Test Tools ELEC 2200: Digital Logic Circuits Nitin Yogi
Lecture #2 Page 1 ECE 4110– Sequential Logic Design Lecture #2 Agenda 1.Logic Design Tools Announcements 1.n/a.
Final Simulation Remember that there are lots of different types of simulation!
Simulating a Verilog Description module bigtest;. calc1_top D1(out_data1, out_data2, out_data3, out_data4, out_resp1, out_resp2, out_resp3, out_resp4,
© 2003 Xilinx, Inc. All Rights Reserved System Simulation.
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
ASIC to FPGA Conversion Flow. Conversion Feasibility Flow Chart Design Rules Checking Feasibility Report RTL CodeQuick Conversion ASIC Netlist Fault coverage.
This material exempt per Department of Commerce license exception TSU System Simulation.
Tools - Design Manager - Chapter 6 slide 1 Version 1.5 FPGA Tools Training Class Design Manager.
Using Simulator With Undertow Suite. Source environment variables For example, envsource has all the environment variables set up. You can change the.
Compiling and running Java programs with BlueJ. Successfully compiled files program files in BlueJ You can tell from the shade of a program icon in BlueJ.
Lab for Cell-Based IC Design
Verilog tutorial Hong-Hui Chen 05/17/2002 VLSI Design Course.
CSE/CoE 535 : Attig 1 ModelSim Tutorial for CSE 535 Michael Attig
Introduction to Verilog. Data Types A wire specifies a combinational signal. – Think of it as an actual wire. A reg (register) holds a value. – A reg.
© 2000 Altera Corporation 1 Quartus Simulator. © 2000 Altera Corporation Dow load from: 2 In This Section Simulator –Features –Supported.
CprE 281: Verilog Tutorial Ben Magstadt – Master’s Student Electrical Engineering.
1 VHDL & Verilog Simulator. Modelsim. 2 Change the directory to where your files exist (All of the files must be in a same folder). Modelsim.
Introduction to Verilog. Structure of a Verilog Program A Verilog program is structured as a set of modules, which may represent anything from a collection.
© 2005 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU CORE Generator System.
Tutorial for Modelsim 1 Installation Download the Modelsim Student Edition: Follow the.
VLSI Synthesis and Simulation Tools Nitin Yogi 01/09/2009
Introduction to Vivado
EECE6017C - Lab 0 Introduction to Altera tools and Basic Digital Logic
Digital Design using FPGAs and Verilog HDL
Introduction to Verilog
Dept. of Electrical and Computer Engineering
Topics The logic design process..
Introduction to Verilog
Week 5, Verilog & Full Adder
Xilinx/Model Technology Powerful FPGA Verification Solution
UCSD ECE 111 Prof. Farinaz Koushanfar Fall 2017
Introduction to Verilog
Introduction to Verilog
THE ECE 554 XILINX DESIGN PROCESS
Introduction to Verilog
The Xilinx Alliance 3.3i software
The Xilinx Alliance 3.3i software
Digital Designs – What does it take
THE ECE 554 XILINX DESIGN PROCESS
Presentation transcript:

Speaker: Tsung-Yi Wu FPGA Design Flow (Part 2) : Simulation

Documents – 208/ModelSim%20tutorial.pdfhttp:// 208/ModelSim%20tutorial.pdf – m/spring2005/lab_resources/modelsim_tut orial.dochttp:// m/spring2005/lab_resources/modelsim_tut orial.doc –ModelSim  Help  PDF Document

Design Process

Why Do You Do Simulation? Functional Verification Timing Verification Sign-off RTL Simulation Verification: Design Behave as Required? (Timing/Function) Register-Transfer-Level Logic-Level (Gates) Transistor-Level (Electrical) Synthesis Map Specification to Implementation

Why Do You Do Simulation? Functional Verification –Multi-Level Simulation RTL GL Netlist Layout Synthesis Design Implementation SIMULATORSIMULATOR Translate Map Place&Route Translate Map Place&Route

Logic Simulation Flow Simulator (Verilog/VHDL) Testbench Netlist Simulation Models SDF SDF extraction Pass/Fail

Verilog Software Simulators Mentor ModelSim Silos III Verilog Simulator Synopsys VCS Cadence –Verilog-XL: Interpreter –NC-Sim: Compiler NC-Verilog NC-VHDL Co-Simulation

ModelSim Tutorial Starting ModelSim –Start  Programs  ModelSim XE II  ModelSim

ModelSim Tutorial Create Project –File  New  Project –Keep Default Library Name

ModelSim Tutorial Create Project –After hitting OK, an Add items to the Project dialog pops out –We can add already existing files to the project

ModelSim Tutorial Compiling –Select Compile  Compile All

ModelSim Tutorial Simulaion –Click on the Library tab of the main window and then click on the (+) sign next to the work library. You should see the name of the module of the code that we have just compiled one. Library Tab

ModelSim Tutorial Simulaion –Double-click on the module to load the file –Now select view  All Windows

ModelSim Tutorial Simulaion –Locate the signals window and select the signals that you want to monitor for simulation purposes.

ModelSim Tutorial Simulaion –Select Simulate  Run  Run -All

ModelSim Tutorial Wave Window

ModelSim Tutorial Wave Window

ModelSim Tutorial Printing the Wave Window –Printing from the wave window is simple as you only need to select: File  Print

Invoking ModelSim from ISE Open the Project Navigator by Double- clicking on the ISE Icon or Going to Start  Programs  Xilinx ISE 6  Project Navigator

Invoking ModelSim from ISE Add exisiting sources by selecting Project menu  Add Source... Select “ your test-bench ” and click Open. In Choose Source Type Window, Select Verilog Test Fixture File

Invoking ModelSim from ISE Test-bench Example: tb_myled.v `timescale 1ns/1ps module tb_led; reg reset,clk; wire[5:0] q; proc u1 (reset,clk,q); initial begin reset=1; clk=0; #8 reset=0; #400; $finish; end always #10 clk=~clk; endmodule

Invoking ModelSim from ISE Click your Test- bench in “ Sources in Project ” Area –The simulation models will be shown in “ Process for Source ” area Click

Invoking ModelSim from ISE Double-Click Simulate Behavioral Model –ModelSim will be invoked Double-Click Simulate Post-Place & Route Verilog Model –ModelSim will be invoked A *.tdo Is Created for ModelSim to Execute