Update on the Design Implementation Methodology for the 130nm process Microelecronics User Group meeting TWEPP 2010 – Aachen Sandro Bonacini CERN PH/ESE.

Slides:



Advertisements
Similar presentations
Z. Stamenković 1, M. Giles 2, and F. Russi 2 1 IHP GmbH, Frankfurt (Oder), GERMANY 2 Synopsys Inc., Mountain View, CA, USA 13th IEEE European Test Symposium,
Advertisements

Copyright 2001, Agrawal & BushnellLecture 12: DFT and Scan1 VLSI Testing Lecture 10: DFT and Scan n Definitions n Ad-hoc methods n Scan design  Design.
© IMEC 2010 MIXED SIGNAL RADIATION TOLERANT DESIGN WITH DARE KNUT ASIC.
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
Institute of Applied Microelectronics and Computer Engineering College of Computer Science and Electrical Engineering, University of Rostock Slide 1 Spezielle.
Microelectronics User Group Meeting TWEPP 2009, Paris 22/9/2009.
MICROELETTRONICA Design methodologies Lection 8. Design methodologies (general) Three domains –Behavior –Structural –physic Three levels inside –Architectural.
1 Lecture 23 Design for Testability (DFT): Full-Scan n Definition n Ad-hoc methods n Scan design Design rules Scan register Scan flip-flops Scan test sequences.
Design Team Project: Physical Design ( Layout ) Kyungseok Kim ELEC 7770 Advanced VLSI Design Lecturer: Dr. Vishwani D. Agrawal.
9 th Sept, VLSI Design & Test seminar series, Fall 2009, Auburn University, Auburn, AL Low Power Implementation of ARM1176JZF-S by Manish Kulkarni.
An Introduction to Synopsys Design Automation Jeremy Lee November 7, 2007.
Design Tools, Flows and Library Aspects during the FE-I4 Implementation on Silicon Vladimir Zivkovic National Institute for Subatomic Physics Amsterdam,
Hierarchical Physical Design Methodology for Multi-Million Gate Chips Session 11 Wei-Jin Dai.
CNRS – INPG – UJF AIDA Workshop, April 8-9, 2013, Frascati (Roma), Italy CAD Tools for 3D-IC and TSV-based designs Kholdoun TORKI
Robust Low Power VLSI R obust L ow P ower VLSI Memory Management Units for Instruction and Data Cache for OR1200 CPU Core Arijit Banerjee ASIC/SOC Class.
CAE tools and technology challenges in making deep sub-micron IC designs Kostas Kloukinas CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland ESE Group seminar.
Are classical design flows suitable below 0.18  ? ISPD 2001 NEC Electronics Inc. WR0999.ppt-1 Wolfgang Roethig Senior Engineering Manager EDA R&D Group.
Access, support and digital libraries for 65nm Sandro Bonacini CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland.
Mateusz Baszczyk, Piotr Dorosz, Wojciech Kucewicz, AGH-UST Krakow Claudio Gotti, Gianluigi Pessina, INFN-Milano Bicocca Angelo Cotta Ramusino, INFN-Ferrara.
CAD for Physical Design of VLSI Circuits
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
EUDET Report - MICELEC Activities Design Tools Status.
CADENCE CONFIDENTIAL 1CADENCE DESIGN SYSTEMS, INC. Cadence Formal Verification 2003 Beijing International Microelectronics Symposium C. Michael Chang Vice.
ASIC Design Flow – An Overview Ing. Pullini Antonio
© 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Design Flow FPGA Design Flow Workshop.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
Fully Pipelined FPU for OR1200
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
Synopsys Custom Designer Tutorial for a chip integration using the University of Utah Standard Cell Libraries In ON Semiconductor 0.5u C5 CMOS Version.
A.Marchioro - CERN/PH1 Accessing 130 nm CMOS Tech for ILC (Public Version) Oct 2006, Munich A. Marchioro CERN, Div. PH 1211 Geneva 23, Switzerland.
DFT Compiler Synopsys Customer Education Services
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
Status of TDCpix full chip assembly Sandro Bonacini NA62 – GTK WG meeting.
ECE 260B – CSE 241A /UCB EECS Kahng/Keutzer/Newton Physical Design Flow Read Netlist Initial Placement Placement Improvement Cost Estimation Routing.
CHAPTER 8 Developing Hard Macros The topics are: Overview Hard macro design issues Hard macro design process Physical design for hard macros Block integration.
ASIC technology support and foundry services at CERN
IMPLEMENTATION OF MIPS 64 WITH VERILOG HARDWARE DESIGN LANGUAGE BY PRAMOD MENON CET520 S’03.
Design tools and foundry access service plans for 65 nm technologies
Microelectronics User Group Meeting TWEPP 2013, Perugia, IT 26/9/2013.
Motivation for 65nm CMOS technology
ASIC Building Blocks for Tracker Upgrade A. Marchioro / CERN-PH-ESE October, 2009.
1 R/2R DAC w/ SPI interface for the IBM 130nm Process Larry Ruckman Physics April2010.
News on the 130 nm technology support Wojciech BIALAS, Kostas KLOUKINAS CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland.
03/30/031 ECE Digital System Design & Synthesis Lecture Design Partitioning for Synthesis Strategies  Partition for design reuse  Keep related.
FEV And Netlists Erik Seligman CS 510, Lecture 5, January 2009.
Written by Whitney J. Wadlow
3D Design IPHC Frédéric Morel - Grégory Bertolone - Claude Colledani.
LPNHE - Serial links for Control in 65nm CMOS technology - 65nm CMOS - Higher density, less material, less power - Enhanced radiation hardness regular.
-1- Soft Core Viterbi Decoder EECS 290A Project Dave Chinnery, Rhett Davis, Chris Taylor, Ning Zhang.
TDC status and to do 1. Status of TDC design 2. List of future activities.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
Top level chip assembly
ASIC Design Methodology
TUTORIAL: Digital-on-Top
AIDA ASIC review Davide Braga Steve Thomas ASIC Design Group
Access to ASIC design tools and foundry services at CERN for SLHC
SoCKs Flow: Here, There, and Back Again
Meeting at CERN March 2011.
Access to 65nm Technology through CERN
Upgrade of the ATLAS MDT Front-End Electronics
Top-level Schematics Digital Block Sign-off Digital Model of Chip
Cadence Low-Power Solution
EE141 Design Styles and Methodologies
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
Week 5, Verilog & Full Adder
ECE 551: Digital System Design & Synthesis
DARE180U Platform Improvements in Release 5.6
Measuring the Gap between FPGAs and ASICs
Presentation transcript:

Update on the Design Implementation Methodology for the 130nm process Microelecronics User Group meeting TWEPP 2010 – Aachen Sandro Bonacini CERN PH/ESE

Sandro Bonacini - PH/ESE - Motivation Mixed Signal Design flow methodology  Open Access based Implementation of Digital-On-Top ASICs  Script based flow Using the IBM 130 nm standard cell library

Sandro Bonacini - PH/ESE - Design flow components Tools  Virtuoso (OA based)  SOC Encounter 8.1 (velocity)  Conformal 8.1  EXT (QRC)  Assura 3.2  Calibre Design Kits  IBM CMOS8RF DM design kit V1.7 3 thin, 2 thick, 3 RF metals.  IBM CMOS8RF LM design kit V1.7 6 thin, 2 thick metals.

Sandro Bonacini - PH/ESE - Enhancements Digital library  I/O pads Implement missing Cadence/Virtuoso views  Functional and symbol views for simulation Fixed existing views  Pin mismatches of power pads  Abstract power pin width  Layout fixes New corner cells with 45 degrees bend  Standard cells New filler cells conforming to PC & RX pattern density rules New double vias for denser routing / better yield

Sandro Bonacini - PH/ESE - Enhancements Implementation flow  Added support for multiple power domains Analog, digital, …  Support for P&R of mixed signal ASICs and third-party IP blocks  Better integration between Virtuoso and Encounter Automatic final netlist import into Virtuoso  Automated physical verification DRC and LVS  Other fixes Scan chain reordering Antenna rule definition for Encounter Two-steps routing for DM metal stack to avoid antenna violations  1 st pass on 3 metals (only thin)  2 nd pass on 5 metals (thin+thick)

“Digital on Top” Design Flow 30/3/10 6 SOC_Encounter Chip Design SOC_Encounter Chip Design Virtuoso Analog Block Creation Virtuoso Analog Block Creation

Mixed-signal example design  Analog IP block: DAC  Digital IP block: SRAM  Digital block: I2C slave Synthesizable RTL code Triple Module Redundancy  Two separate power supplies Analog, digital 30/3/10 7 A realistic example of a Mixed Signal ASIC to demonstrate the design flow:

Sandro Bonacini - PH/ESE - Synthesis: RTL Compiler [rc] Timing constraints:  Clock definitions  Input delays, fanout, transition, etc.  Output load, etc.

Sandro Bonacini - PH/ESE - Design import: Encounter Reference design used in the AMS workshop:  I2C slave connected to SRAM DAC (with separate analog power supply) registers DAC SRAM

Sandro Bonacini - PH/ESE - Floorplanning & power routing Define  Chip/core size  target area utilization  I/O placement  module placement in case of TMR or other special constraints Power planning/routing  Core/block rings and stripes DACSRAM

Power/ground connections Placement Tap cells Standard cells Scan-chain reordering

Multiple power domains Analog & digital blocks  Separate power supplies.  Insertion of Power Breaker peripheral pads. DAC SRAM

Sandro Bonacini - PH/ESE - Clock tree synthesis & signal routing Clock tree synthesis Routing on thin metals Routing on all metals

Sandro Bonacini - PH/ESE - DFM: Antenna fix Re-routes long nets Inserts tie-down diodes

Sandro Bonacini - PH/ESE - Via optimization

Sandro Bonacini - PH/ESE - Cells & metal fill

Sandro Bonacini - PH/ESE - Back to Virtuoso ! ASIC design is present in Virtuoso.  Scripts take care of changing abstracts into real layouts  Automatic import of netlist DAC SRAM

Calibre DRC – Assura LVS

Sandro Bonacini - PH/ESE - Thank you… Implementation of digital-on-top mixed signal ASICs  Using the IBM 130 nm standard cell library  Defined methodology compatible with mixed signal design flows  Presented in the AMS courses Future plans  Add signal integrity checks Celtic  Automate additional DRC checks (ortho/grid, …)