UC San Diego / VLSI CAD Laboratory Learning-Based Approximation of Interconnect Delay and Slew Modeling in Signoff Timing Tools Andrew B. Kahng, Seokhyeong.

Slides:



Advertisements
Similar presentations
(1/25) UCSD VLSI CAD Laboratory - ISQED10, March. 23, 2010 Toward Effective Utilization of Timing Exceptions in Design Optimization Kwangok Jeong, Andrew.
Advertisements

OCV-Aware Top-Level Clock Tree Optimization
-1- VLSI CAD Laboratory, UC San Diego Post-Routing BEOL Layout Optimization for Improved Time- Dependent Dielectric Breakdown (TDDB) Reliability Tuck-Boon.
Timing Margin Recovery With Flexible Flip-Flop Timing Model
Minimum Implant Area-Aware Gate Sizing and Placement
1 Interconnect Layout Optimization by Simultaneous Steiner Tree Construction and Buffer Insertion Presented By Cesare Ferri Takumi Okamoto, Jason Kong.
Moon-Su Kim, Sunik Heo, DalHee Lee, DaeJoon Hyun, Byung Su Kim, Bonghyun Lee, Chul Rim, Hyosig Won, Keesup Kim Samsung Electronics Co., Ltd. System LSI.
Chop-SPICE: An Efficient SPICE Simulation Technique For Buffered RC Trees Myung-Chul Kim, Dong-Jin Lee and Igor L. Markov Dept. of EECS, University of.
UC San Diego / VLSI CAD Laboratory NOLO: A No-Loop, Predictive Useful Skew Methodology for Improved Timing in IC Implementation Tuck-Boon Chan, Andrew.
High-Performance Gate Sizing with a Signoff Timer
Intrinsic Shortest Path Length: A New, Accurate A Priori Wirelength Estimator Andrew B. KahngSherief Reda VLSI CAD Laboratory.
NuCAD ELECTRICAL ENGINEERING AND COMPUTER SCIENCE McCormick Northwestern University Robert R. McCormick School of Engineering and Applied Science FA-STAC.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Constructing Current-Based Gate Models Based on Existing Timing Library Andrew Kahng, Bao Liu, Xu Xu UC San Diego
Local Unidirectional Bias for Smooth Cutsize-delay Tradeoff in Performance-driven Partitioning Andrew B. Kahng and Xu Xu UCSD CSE and ECE Depts. Work supported.
Chung-Kuan Cheng†, Andrew B. Kahng†‡,
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
1 UCSD VLSI CAD Laboratory ISQED-2009 Revisiting the Linear Programming Framework for Leakage Power vs. Performance Optimization Kwangok Jeong, Andrew.
Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control Dennis Sylvester Jie Yang (Univ. of Michigan,
NuCAD ELECTRICAL ENGINEERING AND COMPUTER SCIENCE McCormick Northwestern University Robert R. McCormick School of Engineering and Applied Science Nostra-XTalk.
A Cost-Driven Lithographic Correction Methodology Based on Off-the-Shelf Sizing Tools.
Interconnect Implications of Growth-Based Structural Models for VLSI Circuits* Chung-Kuan Cheng, Andrew B. Kahng and Bao Liu UC San Diego CSE Dept.
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
Methodology from Chaos in IC Implementation Kwangok Jeong * and Andrew B. Kahng *,** * ECE Dept., UC San Diego ** CSE Dept., UC San Diego.
UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD.
Timing Analysis and Optimization Implications of Bimodal CD Distribution in Double Patterning Lithography Kwangok Jeong and Andrew B. Kahng VLSI CAD LABORATORY.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Signal Integrity Methodology on 300 MHz SoC using ALF libraries and tools Wolfgang Roethig, Ramakrishna Nibhanupudi, Arun Balakrishnan, Gopal Dandu Steven.
Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems Andrew B. Kahng, Bill Lin and Siddhartha Nath VLSI CAD LABORATORY,
-1- UC San Diego / VLSI CAD Laboratory Methodology for Electromigration Signoff in the Presence of Adaptive Voltage Scaling Wei-Ting Jonas Chan, Andrew.
Andrew B. Kahng‡†, Mulong Luo†, Siddhartha Nath†
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Interconnect design. n Crosstalk. n Power optimization.
Accuracy-Configurable Adder for Approximate Arithmetic Designs
-1- UC San Diego / VLSI CAD Laboratory A Global-Local Optimization Framework for Simultaneous Multi-Mode Multi-Corner Clock Skew Variation Reduction Kwangsoo.
A New Methodology for Reduced Cost of Resilience Andrew B. Kahng, Seokhyeong Kang and Jiajia Li UC San Diego VLSI CAD Laboratory.
Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Kyoto University.
UC San Diego / VLSI CAD Laboratory Toward Quantifying the IC Design Value of Interconnect Technology Improvement Tuck-Boon Chan, Andrew B. Kahng, Jiajia.
EE 5900 Advanced Algorithms for Robust VLSI CAD, Spring 2009 Static Timing Analysis and Gate Sizing.
Horizontal Benchmark Extension for Improved Assessment of Physical CAD Research Andrew B. Kahng, Hyein Lee and Jiajia Li UC San Diego VLSI CAD Laboratory.
UC San Diego / VLSI CAD Laboratory Incremental Multiple-Scan Chain Ordering for ECO Flip-Flop Insertion Andrew B. Kahng, Ilgweon Kang and Siddhartha Nath.
HDL-Based Layout Synthesis Methodologies Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
-1- UC San Diego / VLSI CAD Laboratory Construction of Realistic Gate Sizing Benchmarks With Known Optimal Solutions Andrew B. Kahng, Seokhyeong Kang VLSI.
Kwangsoo Han, Andrew B. Kahng, Hyein Lee and Lutong Wang
ECO Timing Optimization Using Spare Cells Yen-Pin Chen, Jia-Wei Fang, and Yao-Wen Chang ICCAD2007, Pages ICCAD2007, Pages
High-Performance Gate Selection with a Signoff Timer Andrew B. Kahng *, Seokhyeong Kang *, Hyein Lee *, Igor L. Markov + and Pankit Thapar + UC San Diego.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Interconnect design. n Crosstalk. n Power optimization.
-1- UC San Diego / VLSI CAD Laboratory High-Dimensional Metamodeling for Prediction of Clock Tree Synthesis Outcomes Andrew B. Kahng, Bill Lin and Siddhartha.
Outline Introduction: BTI Aging and AVS Signoff Problem
-1- Statistical Analysis and Modeling for Error Composition in Approximate Computation Circuits Wei-Ting Jonas Chan 1, Andrew B. Kahng 1, Seokhyeong.
Explicit Modeling of Control and Data for Improved NoC Router Estimation Andrew B. Kahng +*, Bill Lin * and Siddhartha Nath + UCSD CSE + and ECE * Departments.
1 Exploiting Nonstationarity for Performance Prediction Christopher Stewart (University of Rochester) Terence Kelly and Alex Zhang (HP Labs)
Mixed Cell-Height Implementation for Improved Design Quality in Advanced Nodes Sorin Dobre +, Andrew B. Kahng * and Jiajia Li * * UC San Diego VLSI CAD.
Static Timing Analysis
Outline Motivation and Contributions Related Works ILP Formulation
-1- UC San Diego / VLSI CAD Laboratory On Potential Design Impacts of Electromigration Awareness Andrew B. Kahng, Siddhartha Nath and Tajana S. Rosing.
-1- UC San Diego / VLSI CAD Laboratory Optimization of Overdrive Signoff Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li and Siddhartha Nath Tuck-Boon Chan,
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
-1- UC San Diego / VLSI CAD Laboratory Optimal Reliability-Constrained Overdrive Frequency Selection in Multicore Systems Andrew B. Kahng and Siddhartha.
Dept. of Electronics Engineering & Institute of Electronics National Chiao Tung University Hsinchu, Taiwan ISPD’16 Generating Routing-Driven Power Distribution.
הטכניון - מ.ט.ל. הפקולטה להנדסת חשמל - אביב תשס"ה
Proximity Optimization for Adaptive Circuit Design Ang Lu, Hao He, and Jiang Hu.
Kun Young Chung*, Andrew B. Kahng+ and Jiajia Li+
Motivation Process & Design trends
Improved Performance of 3DIC Implementations Through Inherent Awareness of Mix-and-Match Die Stacking Kwangsoo Han, Andrew B. Kahng and Jiajia Li University.
Andrew B. Kahng and Xu Xu UCSD CSE and ECE Depts.
S519: Evaluation of Information Systems
On the Improvement of Statistical Timing Analysis
Andrew B. Kahng+$, Uday Mallappa$ and Lawrence Saul+
Presentation transcript:

UC San Diego / VLSI CAD Laboratory Learning-Based Approximation of Interconnect Delay and Slew Modeling in Signoff Timing Tools Andrew B. Kahng, Seokhyeong Kang, Hyein Lee, Siddhartha Nath and Jyoti Wadhwani VLSI CAD LABORATORY, UC San Diego 15 th ACM/IEEE System-Level Interconnect Prediction Workshop June 2 nd, 2013

-2- Outline Motivation Motivation Learning-based Interconnect Modeling Learning-based Interconnect Modeling Correlation Methodology with Signoff Timer Correlation Methodology with Signoff Timer Experimental Results Experimental Results Conclusions and Future Works Conclusions and Future Works

-3- Motivation Incremental static timing analysis (iSTA) is the backbone of post-layout design optimization Incremental static timing analysis (iSTA) is the backbone of post-layout design optimization –Using Signoff Timer –Using Internal Timer Gate Sizing/Vt-Swapping Post-Layout Signoff Post-Layout Optimizer Iterative invocation  Runtime increase TimingDiscrepancyTimingDiscrepancy iSTA Internal Timer iSTA Signoff Timer  Runtime increase  Less accuracy An accurate internal timer is needed STA Signoff Timer

-4- Motivation Challenges in matching signoff timer Challenges in matching signoff timer –Error propagation along paths –Error accumulation with netlist changes Error propagation on paths Error (internal timer – signoff timer) Error # logic depth along path # cell change Netlist change Error accumulation with netlist change Our goal: minimize the error Our goal: minimize the error

-5- Our Work We minimize divergence ‘d’ between internal and signoff timers We minimize divergence ‘d’ between internal and signoff timers Two basic techniques Two basic techniques –Learning-based modeling of wire delay and slew –Offset-based timing correlation We achieve small divergence ‘d’ runtime accuracy Signoff Timer d Internal Timer d Learning-based modeling Offset-based timing correlation

-6- Outline Motivation Motivation Learning-based Interconnect Modeling Learning-based Interconnect Modeling Correlation Methodology with Signoff Timer Correlation Methodology with Signoff Timer Experimental Results Experimental Results Conclusions and Future Works Conclusions and Future Works

-7- Preliminary: Delay and Slew Delay : 50% of input transition to 50% of output transition Delay : 50% of input transition to 50% of output transition Slew : 10% to 90% of transition Slew : 10% to 90% of transition Gate delay and slew: little divergence between timers Gate delay and slew: little divergence between timers –Lookup table-based method is used  not in our scope Wire delay and slew: challenging to match signoff timer Wire delay and slew: challenging to match signoff timer –Wire delay and slew models in signoff timer are unknown Delay Slew 50% 10%90%

-8- Error Distribution of Analytical Models Existing analytical models Existing analytical models Elmore (EM) [Elmore98] D2M [Alpert00] PERI [Kashyap02] Lognormal Slew (LnS) [Alpert03] Wire slew 80%  Regression  Regression EM/LnS: overestimate D2M/PERI: underestimate  Classification Hard cases cannot be estimated by any single model Hard cases Wire delay

-9- Why Classification? Data points in each class have stronger linear fit between measured and estimated values after classification Data points in each class have stronger linear fit between measured and estimated values after classification Estimated values Measured values

-10- Classification Our “alpha” is chosen empirically Our “alpha” is chosen empirically Alpha reflects degree of significance of ramp input on delay metric [Kashyap02] Alpha reflects degree of significance of ramp input on delay metric [Kashyap02] Model 1 Model 2 Model 1 Model 2 Model 3 Wire slew Wire delay

-11- Learning-based Interconnect Modeling Our methodology Our methodology –Classification + Least-Squares Regression (LSQR) Collect training data LSQR Classification

-12- Learning-based Interconnect Modeling Exhaustive search for the best regressor(s) and classifier(s) Exhaustive search for the best regressor(s) and classifier(s) –Increasing the number of regressors/classifiers improves the accuracy until a certain point The number of regressors The number of classifiers ps 23ps 21ps 15% -8% -30% 16ps 14ps -12% -33% 14ps 0% Maximum absolute wire delay error The number of regressors The number of classifiers ps 46.8ps 46.5ps -36% -0.0% -23% 36ps 33ps -8% -29% 31.5ps -4.5% -11% 32ps -1.5% Maximum absolute wire slew error Experimental results with all testcases (ISPD-2013)

-13- Learning-based Interconnect Modeling Learning-based models for wire delay and slew Learning-based models for wire delay and slew Wire delay modeling Wire slew modeling

-14- Outline Motivation Motivation Learning-based Interconnect Modeling Learning-based Interconnect Modeling Correlation Methodology with Signoff Timer Correlation Methodology with Signoff Timer Experimental Results Experimental Results Conclusions and Future Works Conclusions and Future Works

-15- Static Timing Analysis Timing slack is calculated by STA Timing slack is calculated by STA Endpoint (primary output, input of FF) timing slack errors are reported for evaluation Endpoint (primary output, input of FF) timing slack errors are reported for evaluation Calculate slew Calculate delay Calculate AAT/RAT Calculate slack /15 /12 /11 /6 /5 /6 /5/2 /0 /2 AAT / RAT / slack = RAT - AAT / slack = RAT - AAT

-16- Correlation with Signoff Timer Use timing information from signoff timer to compensate the difference (error) between internal and signoff timer Use timing information from signoff timer to compensate the difference (error) between internal and signoff timer Previous work: [Moon10] Endpoint slack offset-based correlation Previous work: [Moon10] Endpoint slack offset-based correlation –Can match slack in critical paths –May not be accurate when critical paths change iSTA Signoff Timer iSTA Internal Timer Request timing information offset = signoff timer – internal timer

-17- Correlation with Signoff Timer Offset is calculated at each STA stage Offset is calculated at each STA stage Correlated timing (slew/delay/AAT/RAT/slack) = timing values from internal timer + offset Correlated timing (slew/delay/AAT/RAT/slack) = timing values from internal timer + offset Calculate slew Calculate delay Calculate AAT/RAT Calculate slack SlewSlewDelayDelayAAT/RATAAT/RATSlackSlack offset = signoff timer – internal timer Slew offset Delayoffset AAT/RAToffset Slackoffset Signoff timer Internal timer

-18- Correlation Method vs. Quality Maximum absolute endpoint slack error for each correlation method Maximum absolute endpoint slack error for each correlation method AAT/delay/AAT+slew/delay+slew correlations give 10X more accuracy during netlist changes compared to slack correlation [Moon10] AAT/delay/AAT+slew/delay+slew correlations give 10X more accuracy during netlist changes compared to slack correlation [Moon10] (ps) Experimental results with fft testcase (ISPD-2013) AAT, delay, AAT+slew, delay+slew correlation slack correlation 10X

-19- Timer in Post-Layout Optimizer Internal timer for a post-layout optimizer Internal timer for a post-layout optimizer Correlate() Netlist change iSTA() # cell change > N? Offset yes no invoke signoff timer

-20- Outline Motivation Motivation Learning-based Interconnect Modeling Learning-based Interconnect Modeling Correlation Methodology with Signoff Timer Correlation Methodology with Signoff Timer Experimental Results Experimental Results Conclusions and Future Works Conclusions and Future Works

-21- Experimental Environment Technology : Liberty from ISPD-2013 Gate Sizing Contest Technology : Liberty from ISPD-2013 Gate Sizing Contest Testcases : ISPD-2013 testcases Testcases : ISPD-2013 testcases Signoff tool : PrimeTime© F SP3-7 Signoff tool : PrimeTime© F SP3-7 Benchmark#cells#nets#PI#FFs#pins#PO pci_bridge fft matrix_mult edit_dist

-22- Error between Internal and Signoff Timer Maximum absolute endpoint slack error for all (delay, slew) pairs Maximum absolute endpoint slack error for all (delay, slew) pairs Correlation-based approach can improve accuracy Correlation-based approach can improve accuracy (delay: D2M, slew: ML) shows the best result (delay: D2M, slew: ML) shows the best result without correlation (ps) with correlation Testcase: fft (ISPD-2013) with correlation (ps) (delay: D2M, slew: ML) 10X

-23- Conclusions and Future Works Learning-based methodology can improve accuracy for endpoint timing slack estimation Learning-based methodology can improve accuracy for endpoint timing slack estimation AAT/delay/AAT+slew/delay+slew offset-based correlation methods can achieve 10X accuracy improvement for timing slack estimation AAT/delay/AAT+slew/delay+slew offset-based correlation methods can achieve 10X accuracy improvement for timing slack estimation Future works Future works –Enhance model robustness across different libraries and testcases –Minimize the overhead of correlation methodology with a given accuracy –Application: industry-strength gate sizing optimizers

Thank You!