VHDL for Sequential Logic

Slides:



Advertisements
Similar presentations
Tutorial 2 Sequential Logic. Registers A register is basically a D Flip-Flop A D Flip Flop has 3 basic ports. D, Q, and Clock.
Advertisements

Sequential Design ELEC 311 Digital Logic and Circuits Dr. Ron Hayne
VHDL Lecture 1 Megan Peck EECS 443 Spring 08.
©2004 Brooks/Cole FIGURES FOR CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC Click the mouse to move to the next page. Use the ESC key to exit this chapter. This.
T-Bird Tail Light Control Circuit Design
Floating-Point Arithmetic ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
1 VLSI DESIGN USING VHDL Part II A workshop by Dr. Junaid Ahmed Zubairi.
VHDL ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
Registers VHDL Tutorial R. E. Haskell and D. M. Hanna T2: Sequential Logic Circuits.
Simple Sequential Circuits in VHDL. Contents Sequential circuit examples: - SR latch in dataflow style - D flip-flop in behavioral style - shift register.
6/27/20061 Sequence Detectors Lecture Notes – Lab 5 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
6/12/20151 Sequence Detectors Lecture Notes – Lab 4 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
Dr. Turki F. Al-Somani VHDL synthesis and simulation – Part 2 Microcomputer Systems Design (Embedded Systems)
Dr. Turki F. Al-Somani VHDL synthesis and simulation – Part 3 Microcomputer Systems Design (Embedded Systems)
Sequencing and Control Mano and Kime Sections 8-1 – 8-7.
Finite State Machines Discussion D8.1 Example 36.
Algorithmic State Machine (ASM) Charts
George Mason University ECE 448 – FPGA and ASIC Design with VHDL Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts,
SM Charts and Microprogramming
Sequential Logic Combination logic: outputs are based on a combination of present inputs only. Sequential logic: outputs depend on present and past inputs.
Sequential Circuit Introduction to Counter
Lecture #5 In this lecture we will introduce the sequential circuits.
Flip-Flop Applications
Advanced FPGA Based System Design Lecture-9 & 10 VHDL Sequential Code By: Dr Imtiaz Hussain 1.
Clocked Synchronous State Machine Design
Latches and Flip-Flops ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
Circuit, State Diagram, State Table
Designing with FPGAs ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
State Machines.
UART ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
Sequential Arithmetic ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
1/8/ L20 Project Step 8 - Data Path Copyright Joanne DeGroat, ECE, OSU1 State Machine Design with an HDL A methodology that works for documenting.
VHDL in 1h Martin Schöberl. AK: JVMHWVHDL2 VHDL /= C, Java,… Think in hardware All constructs run concurrent Different from software programming Forget.
ENG2410 Digital Design LAB #6 LAB #6 Sequential Logic Design (Flip Flops)
ENG241 Digital Design Week #8 Registers and Counters.
Digital Computer Concept and Practice Copyright ©2012 by Jaejin Lee Logic Circuits II.
Hardware Description Languages Digital Logic Design Instructor: Kasım Sinan YILDIRIM.
VHDL Discussion Finite State Machines
1 Sequential Logic Lecture #9. Sequential Logic 2 강의순서  FlipFlop  Active-high Clock & asynchronous Clear  Active-low Clock & asynchronous Clear  Active-high.
VHDL Discussion Finite State Machines IAY 0600 Digital Systems Design Alexander Sudnitson Tallinn University of Technology 1.
VHDL Discussion Sequential Sytems. Memory Elements. Registers. Counters IAY 0600 Digital Systems Design Alexander Sudnitson Tallinn University of Technology.
 Seattle Pacific University EE Logic System DesignCounters-1 Shift Registers DQ clk DQ DQ ShiftIn Q3Q3 Q2Q2 DQ Q1Q1 Q0Q0 A shift register shifts.
Digital System Design using VHDL
1 Sequential Logic Lecture #7. 모바일컴퓨팅특강 2 강의순서 Latch FlipFlop Active-high Clock & asynchronous Clear Active-low Clock & asynchronous Clear Active-high.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
Introduction ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
ECE DIGITAL LOGIC LECTURE 20: REGISTERS AND COUNTERS Assistant Prof. Fareena Saqib Florida Institute of Technology Fall 2015, 11/19/2015.
55:032 - Intro. to Digital DesignPage 1 VHDL and Processes Defining Sequential Circuit Behavior.
ECE DIGITAL LOGIC LECTURE 21: FINITE STATE MACHINE Assistant Prof. Fareena Saqib Florida Institute of Technology Fall 2015, 11/24/2015.
Sequential Statements Multi-valued logic systems Bus example Case Statement Looping statement Assert statement Finite State machines.
Counters and registers Eng.Maha Alqubali. Registers Registers are groups of flip-flops, where each flip- flop is capable of storing one bit of information.
Chapter 35 Sequential Logic Circuits. Objectives After completing this chapter, you will be able to: –Describe the function of a flip-flop –Identify the.
Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams
State Machine Design with an HDL
LAB #6 Sequential Logic Design (Flip Flops, Shift Registers)
Finite State Machines (part 1)
Registers and Counters
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code.
Introduction Introduction to VHDL Entities Signals Data & Scalar Types
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
Some VHDL Details 10/8/08 ECE Lecture 8.
Using CAD Tools to implement Digital Circuits
Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams
Elec 2607 Digital Switching Circuits
VHDL (VHSIC Hardware Description Language)
State Machine Design with an HDL
Lecture #5 In this lecture we will introduce the sequential circuits.
Figure 8.1. The general form of a sequential circuit.
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL code ECE 448 – FPGA and ASIC Design.
Presentation transcript:

VHDL for Sequential Logic ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning ELEC 311

D Flip-Flop 311_17 ELEC 311

Asynchronous Clear 311_17 ELEC 311

Shift Register 311_17 ELEC 311

Counter 311_17 ELEC 311

Design Example T-bird Tail Lights Left Turn Right Turn Hazard 311_17 ELEC 311

Flashing Sequence 311_17 ELEC 311

Flashing Sequence Left Turn Right Turn 311_17 ELEC 311

Initial State Graph Mutual Exclusion? All Inclusion? 311_17 ELEC 311

Corrected State Graph Handles multiple inputs asserted simultaneously 311_17 ELEC 311

Enhanced State Graph Goes into hazard mode as soon as possible 311_17 ELEC 311

VHDL Model entity TBIRD is port (clock, left, right, haz : in std_logic; tail : out std_logic_vector (1 to 6)); end TBIRD; architecture BEHAVE of TBIRD is type State_type is (IDLE,L1,L2,L3,R1,R2,R3,LR3); signal State, Next_State: State_type; signal input: std_logic_vector(1 to 3); begin input <= left & right & haz; 311_17 ELEC 311

VHDL Outputs with State select tail <= "000000" when IDLE, "001000" when L1, "011000" when L2, "111000" when L3, "000100" when R1, "000110" when R2, "000111" when R3, "111111" when LR3; 311_17 ELEC 311

VHDL Sequential Machine process (input, State) begin case State is when IDLE => case input is when "010" => Next_State <= R1; when "100" => Next_State <= L1; when "--1" => Next_State <= LR3; when others => Next_State <= IDLE; end case; when L1 => when others => Next_State <= L2; 311_17 ELEC 311

VHDL Sequential Machine process (SLOW_CLK) begin if SLOW_CLK'event and SLOW_CLK = '1' then State <= Next_State; end if; end process; 311_17 ELEC 311

Summary Sequential VHDL Design Example process if-then-else case 311_17 ELEC 311