Modern processor design

Slides:



Advertisements
Similar presentations
Real-time Signal Processing on Embedded Systems Advanced Cutting-edge Research Seminar I&III.
Advertisements

EZ-COURSEWARE State-of-the-Art Teaching Tools From AMS Teaching Tomorrow’s Technology Today.
Instruction Level Parallelism 2. Superscalar and VLIW processors.
COMP4611 Tutorial 6 Instruction Level Parallelism
POLITECNICO DI MILANO Parallelism in wonderland: are you ready to see how deep the rabbit hole goes? ILP: VLIW Architectures Marco D. Santambrogio:
Advanced Pipelining Optimally Scheduling Code Optimally Programming Code Scheduling for Superscalars (6.9) Exceptions (5.6, 6.8)
1 Advanced Computer Architecture Limits to ILP Lecture 3.
THE MIPS R10000 SUPERSCALAR MICROPROCESSOR Kenneth C. Yeager IEEE Micro in April 1996 Presented by Nitin Gupta.
Superscalar Organization Prof. Mikko H. Lipasti University of Wisconsin-Madison Lecture notes based on notes by John P. Shen Updated by Mikko Lipasti.
Microprocessor Microarchitecture Dependency and OOO Execution Lynn Choi Dept. Of Computer and Electronics Engineering.
Register Renaming & Value Prediction. Overview ► Need for Post-RISC ► Register Renaming vs. Allocation Strategies ► How to compile for Post-RISC machines.
Computer Architecture Pipelines & Superscalars. Pipelines Data Hazards Code: lw $4, 0($1) add $15, $1, $1 sub$2, $1, $3 and $12, $2, $5 or $13, $6, $2.
Mult. Issue CSE 471 Autumn 011 Multiple Issue Alternatives Superscalar (hardware detects conflicts) –Statically scheduled (in order dispatch and hence.
Chapter 12 Pipelining Strategies Performance Hazards.
Review of CS 203A Laxmi Narayan Bhuyan Lecture2.
CIS 629 Fall 2002 Multiple Issue/Speculation Multiple Instruction Issue: CPI < 1 To improve a pipeline’s CPI to be better [less] than one, and to utilize.
Computer ArchitectureFall 2007 © October 29th, 2007 Majd F. Sakr CS-447– Computer Architecture.
1 Lecture 10: ILP Innovations Today: handling memory dependences with the LSQ and innovations for each pipeline stage (Section 3.5)
The PowerPC Architecture  IBM, Motorola, and Apple Alliance  Based on the IBM POWER Architecture ­Facilitate parallel execution ­Scale well with advancing.
ECE/CS 552: Introduction to Superscalar Processors Instructor: Mikko H Lipasti Fall 2010 University of Wisconsin-Madison Lecture notes partially based.
Lect 13-1 Lect 13: and Pentium. Lect Microprocessor Family  Microprocessor  Introduced in 1989  High Integration  On-chip 8K.
1 Superscalar Pipelines 11/24/08. 2 Scalar Pipelines A single k stage pipeline capable of executing at most one instruction per clock cycle. All instructions,
1 Sixth Lecture: Chapter 3: CISC Processors (Tomasulo Scheduling and IBM System 360/91) Please recall:  Multicycle instructions lead to the requirement.
1 Advanced Computer Architecture Dynamic Instruction Level Parallelism Lecture 2.
Chapter 8 CPU and Memory: Design, Implementation, and Enhancement The Architecture of Computer Hardware and Systems Software: An Information Technology.
Dynamic Pipelines. Interstage Buffers Superscalar Pipeline Stages In Program Order In Program Order Out of Order.
Computer Architecture Pipelines & Superscalars Sunset over the Pacific Ocean Taken from Iolanthe II about 100nm north of Cape Reanga.
CSCE 614 Fall Hardware-Based Speculation As more instruction-level parallelism is exploited, maintaining control dependences becomes an increasing.
Branch.1 10/14 Branch Prediction Static, Dynamic Branch prediction techniques.
1 Lecture 5: Dependence Analysis and Superscalar Techniques Overview Instruction dependences, correctness, inst scheduling examples, renaming, speculation,
Improving Cache Performance Four categories of optimisation: –Reduce miss rate –Reduce miss penalty –Reduce miss rate or miss penalty using parallelism.
1 CPRE 585 Term Review Performance evaluation, ISA design, dynamically scheduled pipeline, and memory hierarchy.
1  1998 Morgan Kaufmann Publishers Chapter Six. 2  1998 Morgan Kaufmann Publishers Pipelining Improve perfomance by increasing instruction throughput.
Csci 136 Computer Architecture II – Superscalar and Dynamic Pipelining Xiuzhen Cheng
Lecture 1: Introduction CprE 585 Advanced Computer Architecture, Fall 2004 Zhao Zhang.
15-740/ Computer Architecture Lecture 12: Issues in OoO Execution Prof. Onur Mutlu Carnegie Mellon University Fall 2011, 10/7/2011.
Advanced Pipelining 7.1 – 7.5. Peer Instruction Lecture Materials for Computer Architecture by Dr. Leo Porter is licensed under a Creative Commons Attribution-NonCommercial-ShareAlike.
VU-Advanced Computer Architecture Lecture 1-Introduction 1 Advanced Computer Architecture CS 704 Advanced Computer Architecture Lecture 1.
1 Lecture 10: Memory Dependence Detection and Speculation Memory correctness, dynamic memory disambiguation, speculative disambiguation, Alpha Example.
ECE/CS 552: Introduction to Superscalar Processors and the MIPS R10000 © Prof. Mikko Lipasti Lecture notes based in part on slides created by Mark Hill,
Chapter Six.
Computer Architecture
Lynn Choi Dept. Of Computer and Electronics Engineering
PowerPC 604 Superscalar Microprocessor
Part IV Data Path and Control
Pipeline Architecture since 1985
Out of Order Processors
Modern Processor Design: Superscalar and Superpipelining
Microprocessor Microarchitecture Dynamic Pipeline
ECE/CS 552: Pipelining to Superscalar
Flow Path Model of Superscalars
Pipelining: Advanced ILP
Part IV Data Path and Control
Vishwani D. Agrawal James J. Danaher Professor
Superscalar Pipelines Part 2
Lecture 8: ILP and Speculation Contd. Chapter 2, Sections 2. 6, 2
Lecture 11: Memory Data Flow Techniques
Chapter Six.
November 5 No exam results today. 9 Classes to go!
* From AMD 1996 Publication #18522 Revision E
Vishwani D. Agrawal James J. Danaher Professor
CS203 – Advanced Computer Architecture
ECE/CS 552: Pipelining to Superscalar
Overview Prof. Eric Rotenberg
Prof. Onur Mutlu Carnegie Mellon University
Dynamic Pipelines Like Wendy’s: once ID/RD has determined what you need, you get queued up, and others behind you can get past you. In-order front end,
Seoul National University
The University of Adelaide, School of Computer Science
Presentation transcript:

Modern processor design

Engineering design

The dynamic-static interface (DSI)

Conceptuall illustration of possible placement of DSI in ISA design

Performance simulation metods Trace-driven simulation Execution-driven simulation

Scalar pipeline machine

Superpipelined machine of degree m=3

Superpipelined MIPS R4000 8-stage pipeline

Superscalar machine of degree n=3

VLIW machine of degree n=3

Pipeline example 4-stage 11-stage

Two commercial instruction pipelines

Activity of pipeline stages

6-stage instruction pipeline

I-cache and D-cache

Access to RF

RAW, WAR, and WAW data dependencies

WAW, WAR, and RAW hazards

Forwarding paths

Forwarding paths for ALU leading instructions

Forwarding paths for Load leading instructions

Impact on ALU, Load, and Branch penalties with increasing pipeline depth

Mitigating the Branch penalty impact of deep pipelines

Direct, associative, and set-associative caches

Strategy of cache design

Memory hierarchy

Main memory and I/O

DRAM accesses

Virtual to physical address translation

Processes switching

Paging tables

Direct cache

Associative cache

Set-associative cache

Virtual to physical address translation

Disk

I/O device communication

Stall cycle induced by backward propagation of stalling

Machine parallelism

Parallel pipeline of width s=3

Parallel pipeline - examples 5-stage Pentium parallel pipeline s=2 5-stage i486

Parallel pipeline with four execution pipes

The Motorola 88110 superscalar microprocessor

Interpipeline-stage buffers multi-entry buffer with reordering single-entry buffer multi-entry buffer multi-entry buffer with reordering

Dynamic pipeline of width s=3

6-stage superscalar pipeline

Instruction dispatching in superscalar pipeline

Centralized reservation station

Distributed reservation stations

Dynamic pipeline with reservation stations and reorder buffer

Disruption of sequential control flow by Branch instructions

Branch target address generation penalties

Branch condition resolution penalties

Alpha 21064 pipeline stages

HP PA 7100 pipeline stages

IBM POWER (RIOS) pipeline stages

Intel i960CA pipeline stages

Intel Pentium pipeline stages

Cyrix 6x86 pipeline stages

Intel P6 pipeline stages

MIPS R10000 pipeline stages

Motorola MC68060 pipeline stages

IBM/Motorola PowerPC 604 pipeline stages

Sun UltraSPARC-I pipeline stages