Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines.

Slides:



Advertisements
Similar presentations
Give qualifications of instructors: DAP
Advertisements

EKT 221 : Digital 2 ASM.
Finite State Machine Chapter 10 RTL Hardware Design by P. Chu.
Fundamentals of Digital Signal Processing יהודה אפק, נתן אינטרטור אוניברסיטת תל אביב.
CS 151 Digital Systems Design Lecture 37 Register Transfer Level
3/20/20091 More State Machines. Multiple processes.
The Control Unit: Sequencing the Processor Control Unit: –provides control signals that activate the various microoperations in the datapath the select.
Dr. Turki F. Al-Somani VHDL synthesis and simulation – Part 3 Microcomputer Systems Design (Embedded Systems)
55:035 Computer Architecture and Organization
Algorithmic State Machine (ASM) Charts
George Mason University ECE 448 – FPGA and ASIC Design with VHDL Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts,
1 KU College of Engineering Elec 204: Digital Systems Design Lecture 20 Datapath and Control Datapath - performs data transfer and processing operations.
IC-UNICAMP MC 603/ Finite State Machines Mixed Style RTL Modeling Extraído da George Mason Univ. ECE 545 Lecture 5.
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
CS/EE 3700 : Fundamentals of Digital System Design Chris J. Myers Lecture 8: Synchronous Sequential Circuits Chapter 8.
CoE3DJ4 Digital Systems Design Register transfers, sequencing and control (from chapters 7 and 8 of Mano and Kime)
FINITE STATE MACHINES - II
Chap 8. Sequencing and Control. 8.1 Introduction Binary information in a digital computer –data manipulated in a datapath with ALUs, registers, multiplexers,
Chapter 10 State Machine Design. 2 State Machine Definitions State Machine: A synchronous sequential circuit consisting of a sequential logic section.
VHDL Introduction. V- VHSIC Very High Speed Integrated Circuit H- Hardware D- Description L- Language.
George Mason University ECE 545 – Introduction to VHDL ECE 545 Lecture 5 Finite State Machines.
CprE / ComS 583 Reconfigurable Computing
Introduction to Computer Organization and Architecture Lecture 3 By Juthawut Chantharamalee wut_cha/home.htm.
George Mason University Design of Controllers Finite State Machines and Algorithmic State Machine (ASM) Charts ECE 545 Lecture 14.
1 ECE 545—Digital System Design with VHDL Lecture 6 Behavioral VHDL Coding (for Synthesis): Finite State Machines and ASMs 9/30/08.
George Mason University Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code ECE 448 Lecture 6.
Lecture 9 RTL Design Methodology. Structure of a Typical Digital System Datapath (Execution Unit) Controller (Control Unit) Data Inputs Data Outputs Control.
VHDL Discussion Finite State Machines
Algorithmic state machines
Datapath - performs data transfer and processing operations The control unit sends: – Control signals – Control outputs The control unit receives: – External.
VHDL Discussion Finite State Machines IAY 0600 Digital Systems Design Alexander Sudnitson Tallinn University of Technology 1.
Instructor: Oluwayomi Adamo Digital Systems Design.
Introduction to ASIC flow and Verilog HDL
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Basics of register-transfer design: –data paths and controllers; –ASM.
Algorithmic State Machines Sorting Signed & Unsigned Data Types
ENG241 Digital Design Week #7 Sequential Circuits (Part B)
CDA 4253 FPGA System Design RTL Design Methodology 1 Hao Zheng Comp Sci & Eng USF.
1 ECE 545 – Introduction to VHDL Algorithmic State Machines Sorting Example ECE 656 Lecture 8.
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
ECE DIGITAL LOGIC LECTURE 21: FINITE STATE MACHINE Assistant Prof. Fareena Saqib Florida Institute of Technology Fall 2015, 11/24/2015.
George Mason University Design of Controllers using Algorithmic State Machine (ASM) Charts ECE 545 Lecture 12.
George Mason University Behavioral Modeling of Sequential-Circuit Building Blocks ECE 545 Lecture 8.
Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams
George Mason University Finite State Machines Refresher ECE 545 Lecture 11.
Finite State Machines (part 1)
Week #7 Sequential Circuits (Part B)
Figure 8.1. The general form of a sequential circuit.
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code.
Introduction Introduction to VHDL Entities Signals Data & Scalar Types
Hao Zheng Comp Sci & Eng USF
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
RTL Design Methodology
Simple Processor Control Unit
Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams
RTL Style در RTL مدار ترتيبي به دو بخش (تركيبي و عناصر حافظه) تقسيم مي شود. مي توان براي هر بخش يك پروسس نوشت يا براي هر دو فقط يك پروسس نوشت. مرتضي صاحب.
VHDL (VHSIC Hardware Description Language)
ECE 545 Lecture 12 Design of Controllers Finite State Machines and Algorithmic State Machine (ASM) Charts.
ECE 545 Lecture 10 Design of Controllers Finite State Machines and Algorithmic State Machine (ASM) Charts.
ECE 545 Lecture 9 Design of Controllers Finite State Machines and Algorithmic State Machine (ASM) Charts.
ECE 545 Lecture 11 Design of Controllers Finite State Machines and Algorithmic State Machine (ASM) Charts.
Behavioral Modeling of Sequential-Circuit Building Blocks
Figure 8.1. The general form of a sequential circuit.
KU College of Engineering Elec 204: Digital Systems Design
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code.
RTL Design Methodology Transition from Pseudocode & Interface
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL code ECE 448 – FPGA and ASIC Design.
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
Sequntial-Circuit Building Blocks
Presentation transcript:

Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

2 Required reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 5, FSM

3 Datapath vs. Controller

4 Structure of a Typical Digital System Datapath (Execution Unit) Controller (Control Unit) Data Inputs Data Outputs Control & Status Inputs Control & Status Outputs Control Signals Status Signals

5 Datapath (Execution Unit) Manipulates and processes data. Performs arithmetic and logic operations, shifting/rotating, and other data-processing tasks. Is composed of registers, multiplexers, adders, decoders, comparators, ALUs, gates, etc. Provides all necessary resources and interconnects among them to perform specified task. Interprets control signals from the controller and generates status signals for the controller.

6 Controller (Control Unit) Controls data movement in the datapath by switching multiplexers and enabling or disabling resources Example: enable signals for registers Example: select signals for muxes Provides signals to activate various processing tasks in the datapath. Determines the sequence of operations performed by the datapath. Follows some ‘program’ or schedule.

7 Programmable vs. Non-Programmable Controller Controller can be programmable or non-programmable Programmable Has a program counter which points to next instruction Instructions are held in a RAM or ROM Microprocessor is an example of programmable controller Non-Programmable Once designed, implements the same functionality Another term is a “hardwired state machine,” or “hardwired FSM,” or “hardwired instructions” In this course we will be focusing on non- programmable controllers.

8 Finite State Machines Controllers can be described as Finite State Machines (FSMs) Finite State Machines can be represented using State Diagrams and State Tables - suitable for simple controllers with a relatively few inputs and outputs Algorithmic State Machine (ASM) Charts - suitable for complex controllers with a large number of inputs and outputs All of these descriptions can be easily translated to the corresponding synthesizable VHDL code

9 Hardware Design with RTL VHDL Pseudocode DatapathController Block diagram Block diagram State diagram or ASM chart VHDL code Interface

Steps of the Design Process 1.Text description 2.Interface 3.Pseudocode to describe functionality 4.Block diagram of the Datapath 5.Interface divided into Datapath and Controller 6.State diagram or ASM chart of the Controller 7.RTL VHDL code of the Datapath, Controller, and Top-Level Unit 8.Testbench for the Datapath, Controller, and Top-Level Unit 9.Functional simulation and debugging 10.Synthesis and post-synthesis simulation 11.Implementation and timing simulation 12.Experimental testing using FPGA board 10

11 Finite State Machines Refresher

12 Finite State Machines (FSMs) An FSM is used to model a system that transits among a finite number of internal states. The transitions depend on the current state and external input. The main application of an FSM is to act as the controller of a medium to large digital system Design of FSMs involves Defining states Defining state transitions Defining output functions Optimization / minimization Manual optimization/minimization is practical for small FSMs only.

13 Moore FSM Output is a function of the present state only State register Next State function Output function Inputs Present State Next State Outputs clock reset

14 Mealy FSM Output is a function of the present state and the inputs. Next State function Output function Inputs Present State Next State Outputs State register clock reset

15 State Diagrams

16 Moore Machine state 1 / output 1 state 2 / output 2 transition condition 1 transition condition 2 Transition conditions are defined on inputs.

17 Mealy Machine state 1 state 2 transition condition 1 / output 1 transition condition 2 / output 2 Transition conditions are defined on inputs.

18 Moore FSM - Example 1 Moore FSM that recognizes sequence “10” S0 / 0S1 / 0S2 / reset Meaning of states: S0: No elements of the sequence observed S1: “1” observed S2: “10” observed

19 Mealy FSM - Example 1 Mealy FSM that recognizes sequence “10” S0S1 0 / 0 1 / 0 0 / 1 reset Meaning of states: S0: No elements of the sequence observed S1: “1” observed

20 Moore & Mealy FSMs – Example 1 clock input Moore Mealy S0 S0 S1 S2 S0 S0 S0 S0 S1 S0 S0 S0 state output state output

21 Moore vs. Mealy FSM (1) Moore and Mealy FSMs can be functionally equivalent. Equivalent Mealy FSM can be derived from Moore FSM and vice versa. Mealy FSM has richer description and usually requires smaller number of states Smaller circuit area.

22 Moore vs. Mealy FSM (2) Mealy FSM computes outputs as soon as inputs change. Mealy FSM responds one clock cycle sooner than equivalent Moore FSM. Moore FSM has no combinational path between inputs and outputs. Moore FSM is less likely to affect the critical path of the entire circuit.

23 Which Way to Go? Safer. Less likely to affect the critical path. Mealy FSMMoore FSM Lower Area Responds one clock cycle earlier Fewer states

24 Finite State Machines in VHDL

25 FSMs in VHDL Finite State Machines can be easily described with processes. Synthesis tools understand FSM description if certain rules are followed. State transitions should be described in a process sensitive to clock and asynchronous reset signals only. Output function described using rules for combinational logic, i.e. as concurrent statements or a process with all inputs in the sensitivity list.

26 Moore FSM State Register Next State function Output function Inputs Present State Next State Outputs clock reset process(clock, reset) concurrent statements

27 Mealy FSM Next State function Output function Inputs Present State Next State Outputs State Register clock reset process(clock, reset) concurrent statements

28 Moore FSM - Example 1 Moore FSM that Recognizes Sequence “10” S0 / 0S1 / 0S2 / reset

29 Moore FSM in VHDL (1) type state IS (S0, S1, S2); -- enumeration type signal Moore_state: state; U_Moore: process (clock, reset) begin if (reset = ‘1’) then Moore_state <= S0; elsif (clock = ‘1’ and clock’event) then case Moore_state is when S0 => if input = ‘1’ then Moore_state <= S1; else Moore_state <= S0; end if; S0 / 0S1 / next state logic

30 Moore FSM in VHDL (2) when S1 => if input = ‘0’ then Moore_state <= S2; else Moore_state <= S1; end if; when S2 => if input = ‘0’ then Moore_state <= S0; else Moore_state <= S1; end if; end case; end if; end process; Output <= ‘1’ when Moore_state = S2 else‘0’; next state logic -- output function

31 Mealy FSM - Example 1 Mealy FSM that Recognizes Sequence “10”. S0S1 0 / 0 1 / 0 0 / 1 reset

32 Mealy FSM in VHDL (1) type state IS (S0, S1); signal Mealy_state: state; U_Mealy: process (clock, reset) begin if (reset = ‘1’) then Mealy_state <= S0; elsif (clock = ‘1’ and clock’event) then case Mealy_state is when S0 => if input = ‘1’ then Mealy_state <= S1; else Mealy_state <= S0; end if; next state logic

33 Mealy FSM in VHDL (2) when S1 => if input = ‘0’ then Mealy_state <= S0; else Mealy_state <= S1; end if; end case; end if; end process; Output <= ‘1’ when (Mealy_state = S1 and input = ‘0’) else ‘0’; -- output function next state logic

34 Algorithmic State Machine (ASM) Charts

35 Algorithmic State Machine Algorithmic State Machine – representation of a Finite State Machine suitable for FSMs with a larger number of inputs and outputs compared to FSMs expressed using state diagrams and state tables.

36 Elements used in ASM charts (1) Output signals or actions (Moore type) State name Condition expression 0 (False) 1 (True) Conditional outputs or actions (Mealy type) (a) State box(b) Decision box (c) Conditional output box

37 State Box State box represents a state. Equivalent to a node in a state diagram or a row in a state table. Contains register transfer actions or output signals Moore-type outputs are listed inside of the box. It is customary to write only the name of the signal that has to be asserted in the given state, e.g., z instead of z<=1. Also, it might be useful to write an action to be taken, e.g., count <= count + 1, and only later translate it to asserting a control signal that causes a given action to take place (e.g., enable signal of a counter). Output signals or actions (Moore type) State name

38 Decision Box Decision box indicates that a given condition is to be tested and the exit path is to be chosen accordingly. The condition expression may include one or more inputs to the FSM. Condition expression 0 (False) 1 (True)

39 Conditional Output Box Conditional output box denotes output signals that are of the Mealy type. The condition that determines whether such outputs are generated is specified in the decision box. Conditional outputs or actions (Mealy type)

40 ASMs Representing Simple FSMs Algorithmic state machines can model both Mealy and Moore Finite State Machines They can also model machines that are of the mixed type.

41 Generalized FSM Based on RTL Hardware Design by P. Chu

42 Moore FSM – Example 2: State diagram Cz1=  Reset Bz0=  Az0=  w0= w1= w1= w0= w0= w1=

43 Present Next state Output state w=0w=1 z AAB0 BAC0 CAC1 Moore FSM – Example 2: State Table

44 ASM Chart for Moore FSM – Example 2

45 use ieee.std_logic_1164.all ; entity simple is port(clock : in STD_LOGIC ; resetn : in STD_LOGIC ; w : in STD_LOGIC ; z : out STD_LOGIC ) ; end simple ; architecture Behavior of simple is type State_type IS (A, B, C) ; signal state : State_type ; begin process( resetn, clock ) begin if resetn = '0' then state <= A ; elsif (Clock'EVENT and Clock = '1') then Example 2: VHDL code (1)

46 case state is when A => if w = '0' then state <= A ; else state <= B ; end if; when B => if w = '0' then state <= A ; else state <= C ; end if; when C => if w = '0' then state <= A ; else state <= C ; end if; end case; Example 2: VHDL code (2)

47 Example 2: VHDL code (3) END IF ; END PROCESS ; z <= '1' when state = C else '0' ; END Behavior;

48 A w0=z0=  w1=z1=  B w0=z0=  Reset w1=z0=  Mealy FSM – Example 3: State diagram

49 ASM Chart for Mealy FSM – Example 3 A w0=z0=  w1=z1=  B w0=z0=  Reset w1=z0= 

50 library ieee; use ieee.std_logic_1164.all; entity Mealy is PORT ( clock : IN STD_LOGIC ; resetn : IN STD_LOGIC ; w : IN STD_LOGIC ; z : OUT STD_LOGIC ) ; end Mealy ; architecture Behavior of Mealy is type State_type is (A, B) ; signal state: State_type ; begin process ( resetn, clock ) begin if resetn = '0' then state<= A ; elsif (clock'EVENT and clock = '1') then Example 3: VHDL code (1)

51 Example 3: VHDL code (2) case state is when A => if w = '0' then state<= A ; else state<= B ; end if; when B => if w = '0' then state<= A ; else state<= B ; end if; end case; end if; end process;

52 Example 3: VHDL code (3) z <='1' when (y = B) and (w=‘1’) else '0' ; end Behavior ;

53 Control Unit Example: Arbiter (1) Arbiter reset r1 r2 r3 g1 g2 g3 clock

54 Idle Reset gnt1g 1  1= -1- gnt2g 2  1= --1 gnt3g 3  1= Control Unit Example: Arbiter (2)

55 Control Unit Example: Arbiter (3)

56 ASM Chart for Control Unit - Example 4

57 Example 4: VHDL code (1) LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY arbiter IS PORT ( Clock, Resetn : IN STD_LOGIC ; r : INSTD_LOGIC_VECTOR(1 TO 3) ; g : OUT STD_LOGIC_VECTOR(1 TO 3) ) ; END arbiter ; ARCHITECTURE Behavior OF arbiter IS TYPE State_type IS (Idle, gnt1, gnt2, gnt3) ; SIGNAL state: State_type ;

58 Example 4: VHDL code (2) BEGIN PROCESS ( Resetn, Clock ) BEGIN IF Resetn = '0' THEN state <= Idle ; ELSIF (Clock'EVENT AND Clock = '1') THEN CASE state IS WHEN Idle => IF r(1) = '1' THEN state <= gnt1 ; ELSIF r(2) = '1' THEN state <= gnt2 ; ELSIF r(3) = '1' THEN state <= gnt3 ; ELSE state <= Idle ; END IF ; WHEN gnt1 => IF r(1) = '1' THEN state <= gnt1 ; ELSE state <= Idle ; END IF ; WHEN gnt2 => IF r(2) = '1' THEN state <= gnt2 ; ELSE state <= Idle ; END IF ;

59 Example 4: VHDL code (3) WHEN gnt3 => IF r(3) = '1' THEN state <= gnt3 ; ELSE state <= Idle ; END IF ; END CASE ; END IF ; END PROCESS ; g(1) <= '1' WHEN y = gnt1 ELSE '0' ; g(2) <= '1' WHEN y = gnt2 ELSE '0' ; g(3) <= '1' WHEN y = gnt3 ELSE '0' ; END Behavior ;

60 ASM Summary ASM (algorithmic state machine) chart –Flowchart-like diagram –Provides the same info as a state diagram –More descriptive, better for complex description –ASM block One state box One or more optional decision boxes: with T (1) or F (0) exit path One or more conditional output boxes: for Mealy output

61

ASM Chart Rules Based on RTL Hardware Design by P. Chu Difference between a regular flowchart and an ASM chart: –Transition governed by clock –Transition occurs between ASM blocks Basic rules: –For a given input combination, there is one unique exit path from the current ASM block –Any closed loop in an ASM chart must include a state box

Incorrect ASM Charts Based on RTL Hardware Design by P. Chu

Generalized FSM Based on RTL Hardware Design by P. Chu

Case Study I Debouncing Circuit

Original & Debounced Inputs

Debouncing Circuit – Scheme 1 sw: input from slide switches or push buttons. m_tick: output from a timer with 10ms period.

Debouncing Testing Circuit

69 Case Study II Fibonacci Number

70 Fibonacci Number if i = 0 if i = 1

71 Fibonacci Number idle/ rdy <=‘1’ done/ done_tick <= ‘1’ op n=0/ t1 <= 0 n/=1/ t1 <= t1+t0 t0 <= t1 n <= n-1 n=1

Alternative Coding Styles by Dr. Chu (to be used with caution)

Traditional Coding Style State Register Next State function Moore Output function Inputs Present State Next State clock reset process(clock, reset) concurrent statements Mealy Output function Mealy OutputsMoore Outputs

Alternative Coding Style 1 State Register Next State function Moore Output function Inputs Present State Next State clock reset Process(Present State, Inputs) Mealy Output function Mealy OutputsMoore Outputs Process(clock, reset) Process(Present State) Process(Present State, Inputs)

75 Next state logic depends on mem, rw, and burst. Moore output: re and we. Mealy output: we_me that depends on mem and rw.

76

77 Next state logic depends on mem, rw, and burst.

78 Moore output: re and we.

79 Mealy output: we_me that depends on mem and rw.

Alternative Coding Style 2 Process(clk, reset) Process(Present State,Inputs)

81

82

83

VHDL Variables Variables can be declared and used within processes. Cannot be used outside processes.

Differences: Signals vs Variables Variables can only be declared and used within processes or procedures. -Used to hold temporary results. Signals can only be declared in architecture. -Used for inter-process communications. Assignment to a variables occurs immediately. Assignment to a signal occurs after a process is executed. Synthesis results: -Variables: wires -Signals: wires, registers, or latches.

Differences: Signals vs Variables architecture sig_ex of test is process (clk) begin if rising_edge(clk) then out1 <= a and b; out2 <= out1 xor c; end if; end process; end sig_ex; architecture var_ex of test is process (clk) variable out3 : std_logic_type; begin if rising_edge(clk) then out3 := a and b; out4 <= out3 xor c; end if; end process; end sig_ex; FF AND XOR a b c out1 out2 AND XOR a b c FF out3 out4