JouleTrack - A Web Based Tool for Software Energy Profiling Amit Sinha and Anantha Chandrakasan Massachusetts Institute of Technology June 19, 2001.

Slides:



Advertisements
Similar presentations
Subthreshold SRAM Designs for Cryptography Security Computations Adnan Gutub The Second International Conference on Software Engineering and Computer Systems.
Advertisements

S CRATCHPAD M EMORIES : A D ESIGN A LTERNATIVE FOR C ACHE O N - CHIP M EMORY IN E MBEDDED S YSTEMS - Nalini Kumar Gaurav Chitroda Komal Kasat.
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 14: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Energy Evaluation Methodology for Platform Based System-On- Chip Design Hildingsson, K.; Arslan, T.; Erdogan, A.T.; VLSI, Proceedings. IEEE Computer.
Improving the Efficiency of Memory Partitioning by Address Clustering Alberto MaciiEnrico MaciiMassimo Poncino Proceedings of the Design,Automation and.
Software Strategies for Portable Computer Energy Management IEEE Personal Communications 1998 Presented by Hsu Hao Chen.
Micro-Architecture Techniques for Sensor Network Processors Amir Javidi EECS 598 Feb 25, 2010.
Embedded Computing From Theory to Practice November 2008 USTC Suzhou.
A Simulation Framework for Energy-Consumption Analysis of OS-Driven Embedded Applications T.K. Tan, A. Raghunathan, N.K. Jha, Dept. of Electr. Eng., Princeton.
EET 4250: Chapter 1 Performance Measurement, Instruction Count & CPI Acknowledgements: Some slides and lecture notes for this course adapted from Prof.
Optimization Of Power Consumption For An ARM7- BASED Multimedia Handheld Device Hoseok Chang; Wonchul Lee; Wonyong Sung Circuits and Systems, ISCAS.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
1 Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge
Architectural Power Management for High Leakage Technologies Department of Electrical and Computer Engineering Auburn University, Auburn, AL /15/2011.
Author: D. Brooks, V.Tiwari and M. Martonosi Reviewer: Junxia Ma
ECE 510 Brendan Crowley Paper Review October 31, 2006.
Architectural and Compiler Techniques for Energy Reduction in High-Performance Microprocessors Nikolaos Bellas, Ibrahim N. Hajj, Fellow, IEEE, Constantine.
Low Power Design of Integrated Systems Assoc. Prof. Dimitrios Soudris
8/16/2015\course\cpeg323-08F\Topics1b.ppt1 A Review of Processor Design Flow.
CS 423 – Operating Systems Design Lecture 22 – Power Management Klara Nahrstedt and Raoul Rivas Spring 2013 CS Spring 2013.
1 Presenter: Ming-Shiun Yang Sah, A., Balakrishnan, M., Panda, P.R. Design, Automation & Test in Europe Conference & Exhibition, DATE ‘09. A Generic.
Spring 2000, 4/27/00 Power evaluation of SmartDust remote sensors CS 252 Project Presentation Robert Szewczyk Andras Ferencz.
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
17 Sep 2002Embedded Seminar2 Outline The Big Picture Who’s got the Power? What’s in the bag of tricks?
Low Power Techniques in Processor Design
Chalmers University of Technology FlexSoC Seminar Series – Page 1 Power Estimation FlexSoc Seminar Series – Daniel Eckerbert
Ronny Krashinsky Seongmoo Heo Michael Zhang Krste Asanovic MIT Laboratory for Computer Science SyCHOSys Synchronous.
Low-Power Wireless Sensor Networks
Institut for Technical Informatics 1 Thomas Trathnigg Towards Runtime Support for Energy Awareness in WSNs Towards Runtime Support for Energy Awareness.
1 Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction Rakesh Kumar, Keith I. Farkas, Norman P. Jouppi, Parthasarathy.
Basics of Energy & Power Dissipation Lecture notes S. Yalamanchili, S. Mukhopadhyay. A. Chowdhary.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
1 Tuning Garbage Collection in an Embedded Java Environment G. Chen, R. Shetty, M. Kandemir, N. Vijaykrishnan, M. J. Irwin Microsystems Design Lab The.
3 rd Nov CSV881: Low Power Design1 Power Estimation and Modeling M. Balakrishnan.
Guangdeng Liao, Xia Zhu, Steen Larsen, Laxmi Bhuyan, Ram Huggahalli University of California, Riverside Intel Labs.
1 Estimating the Worst-Case Energy Consumption of Embedded Software Ramkumar Jayaseelan Tulika Mitra Xianfeng Li School of Computing National University.
1 A Run-Time Feedback Based Energy Estimation Model for Embedded Systems Selim Gürün Chandra Krintz Department of Computer Science U.C. Santa Barbara International.
Power Estimation and Optimization for SoC Design
Chapter 1 Computer Abstractions and Technology. Chapter 1 — Computer Abstractions and Technology — 2 The Computer Revolution Progress in computer technology.
Basics of Energy & Power Dissipation
 GPU Power Model Nandhini Sudarsanan Nathan Vanderby Neeraj Mishra Usha Vinodh
Morgan Kaufmann Publishers
 GPU Power Model Nandhini Sudarsanan Nathan Vanderby Neeraj Mishra Usha Vinodh
Hardware Architectures for Power and Energy Adaptation Phillip Stanley-Marbell.
Patricia Gonzalez Divya Akella VLSI Class Project.
Power Analysis of Embedded Software : A Fast Step Towards Software Power Minimization 指導教授 : 陳少傑 教授 組員 : R 張馨怡 R 林秀萍.
An Integrated GPU Power and Performance Model (ISCA’10, June 19–23, 2010, Saint-Malo, France. International Symposium on Computer Architecture)
Seok-jae, Lee VLSI Signal Processing Lab. Korea University
Department of Electrical and Computer Engineering University of Wisconsin - Madison Optimizing Total Power of Many-core Processors Considering Voltage.
SSU 1 Dr.A.Srinivas PES Institute of Technology Bangalore, India 9 – 20 July 2012.
1 of 14 Lab 2: Formal verification with UPPAAL. 2 of 14 2 The gossiping persons There are n persons. All have one secret to tell, which is not known to.
1 of 14 Lab 2: Design-Space Exploration with MPARM.
CS203 – Advanced Computer Architecture
Green cloud computing 2 Cs 595 Lecture 15.
Architecture & Organization 1
Department of Electrical & Computer Engineering
A Wireless Sensor Node SoC with a Profiled Power Management Unit for IR Controllable Digital Consumer Devices Dong-Sun Kim, Member, IEEE, Byung-Soo Kim,
A Review of Processor Design Flow
Hui Chen, Shinan Wang and Weisong Shi Wayne State University
Architecture & Organization 1
CMSC 611: Advanced Computer Architecture
Christophe Dubach, Timothy M. Jones and Michael F.P. O’Boyle
Performance of computer systems
Circuit Design Techniques for Low Power DSPs
A High Performance SoC: PkunityTM
Nov. 12, 1997 Bob Brodersen ( CS 152 Computer Architecture and Engineering Introduction to Architectures for Digital.
Performance of computer systems
CMSC 611: Advanced Computer Architecture
Performance of computer systems
Presentation transcript:

JouleTrack - A Web Based Tool for Software Energy Profiling Amit Sinha and Anantha Chandrakasan Massachusetts Institute of Technology June 19, 2001

Outline Motivation for fast software energy profiling Experimental setup – StrongARM and SH-4 Current profiling measurements and implications  Instruction level  Program level  Models Leakage energy estimation methodology  Processor leakage model  Leakage vs. switching JouleTrack design Conclusions

Motivation Proliferation of portable devices  50X increase in μP power vs. 4X in battery life  Battery technology lags behind Programmable solutions preferred  Fast software profiling techniques required

Scenarios Integrated Development Environments Energy aware software Portable devices downloading apps Predictive energy estimation Wireless sensor networks Lifetime estimation Energy-Quality tradeoffs

Software Energy Estimation [Tiwari96] proposed instruction level analysis Disadvantages  Tedious instruction level analysis  Large simulation time and storage requirement  Very fine grained Macro-estimation? Processor Memory AA Power Supply

StrongARM DVS Experimental Setup Intel StrongARM SA-1100 Brutus platform Hitachi SH-4 based SH7750 platform Freq59 – 206 MHz V DD 0.8 – 1.5 V SH7750

SA-1100 Instruction Current Little variation in instruction currents! Power (%) CacheGCLKI/O,PLL [Montanaro, JSSC ‘96] ControlEBOX Supply V DD 1.5 V Frequency206 MHz Load/Store A ALU0.178 A

SH-4 Instruction Currents Similar variation with MACs and Branches consuming more current

Program Current for SA-1100 Current almost independent of program! First order model, I = f(V DD,frequency)

Second Order Estimation Determine energy differentiated instruction classes and weights for those classes ClassWeight Instruction2.17 Sequential Memory access0.03 Non-sequential Memory access1.24 Internal0.84 Measure statistics for those classes at runtime Program current = Weighted average Error < 3% SA-1100 Example

Model Calibration Benchmark Programs Determine Weights Measure Statistics Execute Measure Current

First and Second Order Models Second order model reduces average error to 2.8% (based on 66 test programs)

Voltage Frequency Variation E switch = C tot V DD 2 E leak = V DD I 0 exp(V dd /nV T ) t Optimal

Leakage Model Leakage currents account for 10% of energy dissipation Leakage behavior is exponential with supply voltage I 0 = 1.2mA, n = 21.3 for the StrongARM FFT Execution TimeSupply Voltage (V) Total Charge flow Leakage Current (mA) I leak = I 0 exp(V dd /nV T )

Explanation of Exponential Model Processor leakage model can be explained from transistor subthreshold leakage model Model error < 6% Vdd (V) I leak (mA)Error (%) MeasuredModel  eV L W CA eAeI T eff ox V V nV VVVV T DS T SthGS                 V DD I1I1 I2I2 I3I3 [Gu96]

Leakage energy component can dominate switching energy for high supply voltage operation! Isolating Leakage Energy

Low Duty Cycle Effects Leakage occurs at all times! D = 100%  Leakage is 10% D = 10%  Leakage > 50% Just in time processing will reduce leakage energy Switching Energy Leakage Energy Time Energy TT1T1 Duty Cycle : D = T 1 /T Task completes Idle Mode

JouleTrack Design Web Server Common Gateway Interface www Simulator Compiler Linker Libraries Energy Estimation Average Currents, Weights Remote User source.c source.exe Cycle counts Statistics Errors Energy Profile Logs

Timing Estimation Engine Cycle Accurate Simulator Core Execution Statistics Memory Timing SRAM 4 rw 1/1 1/ ROM 2 r 100/ / DRAM 2 rw 150/ /100 Tracer Co-processor Profiler Memory Models OS Models Memory Map Executable Image Clock Speed RDI

JouleTrack Snapshot hits since Sept-2000

Conclusions Fast macro-level program current estimation demonstrated  No need for elaborate trace profiling  Can be incorporated in an energy aware application development environment Very little instruction current variation because of common overheads Leakage currents becoming significant  10% at 100% duty cycle on StrongARM  Leakage measurement technique presented