ECE 448 FPGA and ASIC Design with VHDL

Slides:



Advertisements
Similar presentations
EELE 367 – Logic Design Module 2 – Modern Digital Design Flow Agenda 1.History of Digital Design Approach 2.HDLs 3.Design Abstraction 4.Modern Design Steps.
Advertisements

George Mason University FPGA Design Flow ECE 448 Lecture 9.
ECE 447: Course Organization Instructor:Kris Gaj, S&T II, Room 223 Office hours: T, R 4:30-5:30 PM Lab assistants: Thuy-Tien Nguyen (M)
FPGA Devices & FPGA Design Flow
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts,
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448.
CSE 378 Computer Hardware Design Prof. Richard E. Haskell – –Tel: –Web site: Follow.
ECE 448 FPGA and ASIC Design with VHDL
ECE 448: Spring 12 Lab 4 – Part 2 Finite State Machines Basys2 FPGA Board.
ECE 447: Course Organization Instructor:Michael Garcia, Engineering Bldg. 3707, 3708 Office hours: Wednesday, 7:20-8:20pm; Sunday, TBD.
EENG 2910 – Digital Systems Design Fall Course Introduction Class Time: M9:30am-12:20pm Location: B239, B236 and B227 Instructor: Yomi Adamo
EKT303/4 PRINCIPLES OF PRINCIPLES OF COMPUTER ARCHITECTURE (PoCA)
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
ECE 448 FPGA and ASIC Design with VHDL Spring 2008.
Data Flow Modeling of Combinational Logic Simple Testbenches
ECE 448 FPGA and ASIC Design with VHDL
ECE 545 Project 1 Part IV Key Scheduling Final Integration List of Deliverables.
EL 3101 EL310 Hardware Description Languages Spring 2015 Instructor: Ilker Hamzaoglu Teaching Assistant: Ercan Kalalı Web Site:
VHDL Project Specification Naser Mohammadzadeh. Schedule  due date: Tir 18 th 2.
ECE 449: Computer Design Lab Coordinator: Kris Gaj TAs: Tuesday session: Pawel Chodowiec Thursday session: Nghi Nguyen.
ECE 545 Digital System Design with VHDL
ECE 448 FPGA and ASIC Design with VHDL Spring 2010.
Kris Gaj Office hours: Monday, 3:00-4:00 PM, Wednesday, 3:00-4:00 PM, Thursday, 6:00-7:00 PM, and by appointment Research and teaching interests: cryptography.
Welcome to the ECE 449 Computer Design Lab Spring 2005.
ECE 448: Spring 11 Lab 3 Part 1 Sequential Logic for Synthesis.
ECE 545 Project 2 Specification. Schedule of Projects (1) Project 1 RTL design for FPGAs (20 points) Due date: Tuesday, November 22, midnight (firm) Checkpoints:
1 ECE 545 – Introduction to VHDL Dataflow Modeling of Combinational Logic Simple Testbenches ECE 656. Lecture 2.
George Mason University ECE 449 – Computer Design Lab Introduction to FPGA Devices & Tools.
George Mason University ECE 449 – Computer Design Lab Welcome to the ECE 449 Computer Design Lab Spring 2005.
ECE 448 FPGA and ASIC Design with VHDL Spring 2011.
ECE 545 Lecture 7 FPGA Design Flow.
ECE 545 Project 2 Specification. Project 2 (15 points) – due Tuesday, December 19, noon Application: cryptography OR digital signal processing optimized.
George Mason University ECE 449 – Computer Design Lab Welcome to the ECE 449 Computer Design Lab Spring 2004.
EKT303/4 PRINCIPLES OF PRINCIPLES OF COMPUTER ARCHITECTURE (PoCA)
George Mason University ECE 448 FPGA and ASIC Design with VHDL FPGA Design Flow ECE 448 Lecture 7.
Computer Engineering 1502 Advanced Digital Design Professor Donald Chiarulli Computer Science Dept Sennott Square
Introduction to FPGA Tools
ECE 448 FPGA and ASIC Design with VHDL
George Mason University FPGA Design Flow ECE 545 Lecture 10.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
CDA 4253 FPGA System Design Hao Zheng Dept of Comp Sci & Eng USF.
ECE 448 FPGA and ASIC Design with VHDL Spring 2009.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL FPGA Design Flow based on Aldec Active-HDL FPGA Board.
ECE 448 – FPGA and ASIC Design with VHDL George Mason University ECE 448 Lab 1 Implementing Combinational Logic in VHDL.
ECE 545 Project 1 Introduction & Specification Part I.
Course web page: ECE 545 Introduction to VHDL ECE web page  Courses  Course web pages  ECE 545.
George Mason University Data Flow Modeling of Combinational Logic ECE 545 Lecture 5.
ECE 448 – FPGA and ASIC Design with VHDL George Mason University ECE 448 Lab 2 Implementing Combinational Logic in VHDL.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
Programmable Logic Devices
ECE web page  Courses  Course web pages
Introduction to Programmable Logic
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
Data Flow Modeling of Combinational Logic
ECE 448 Lecture 5 FPGA Devices
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
Data Flow Description of Combinational-Circuit Building Blocks
Sequential Logic for Synthesis Based on Aldec Active-HDL
Data Flow Description of Combinational-Circuit Building Blocks
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code.
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL code ECE 448 – FPGA and ASIC Design.
Digital Designs – What does it take
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
Presentation transcript:

ECE 448 FPGA and ASIC Design with VHDL Spring 2012

ECE 448 Team Course Instructor: Kris Gaj kgaj@gmu.edu Lab Instructors (TAs): Tuesday & Thursday section: Umar Sharif malik.umar.sharif@gmail.com Wednesday section: Danesh Esteki danesh.esteki@gmail.com Homework & quiz grading: Bilal Habib bhabib@masonlive.gmu.edu

ECE 448 Team – Division of Tasks Course Instructor – Primary Responsibilities Lectures Preparing quizzes and exams, grading exams - Coordination of work on development of new experiments Approving instructions for the lab assignments Coordination of work done by the TAs Enforcing consistent policies and grading standards Mid-semester student satisfaction survey Resolving conflicts and providing feedback to the TAs Holding office hours

ECE 448 Team – Division of Tasks Lab Instructors (TAs) – Primary Responsibilities Teaching hands-on sessions on how to use software, hardware and testing equipment needed in lab assignments Introductions to lab assignments Grading student demonstrations and reports Holding office hours Development and testing of new lab assignments

ECE 448 Section Assignment Rules You should do your best to attend all lab meetings of the section you are registered for If you have missed a meeting of your section please attend a meetings of any other section but give preference in access to the lab computers to the students attending their own section All lab assignment demonstrations need to be done in the presence of your TA, and can be normally done exclusively during the class time of your section Any requests for exceptions to these rules (due to illness, accident, etc.) should be well documented and presented to the main instructor for approval.

Course Hours Lecture: Lab Sessions: Lab sessions start this week!!! Tuesday, Thursday 5:55-7:10 PM, Robinson Hall B, room 228 Lab Sessions: Tuesday, Wednesday, Thursday 7:20-10:00 PM, The Nguyen Engineering Bldg., room 3208 Lab sessions start this week!!! It is very important that you attend the first lab session!

Tentative Office Hours You are welcome to attend all office hour sessions! You can direct your questions regarding lab assignments to all lab instructors and myself. Saturday, 3:00-4:00 PM, Danesh Esteki, Engineering 3208 Monday, 1:00-3:00 PM, Umar Sharif, Engineering 3208 Tuesday, 1:00-3:00 PM, Umar Sharif, Engineering 3208 Tuesday, 7:30-8:30 PM, Kris Gaj, Engineering 3225 Wednesday, 6:00-7:00 PM, Danesh Esteki, Engineering 3208 Thursday, 4:30-5:30 PM, Kris Gaj, Engineering 3225 Do your best to avoid visiting TAs outside of of their office hours! They have other jobs to do!

Lab Access Rules and Behavior Code Please refer to Computer Engineering Lab website and in particular to Access rules & behavior code

Grading criteria First part of the semester (before the Spring break) Lab experiments - Part I 20% Quizzes & homework: 5% Midterm exam for the lecture: 10% Midterm exam for the lab: 15% Second part of the semester (after the Spring break) Lab experiments - Part II    20% Quizzes & homework: 5% Final exam 25%

Tentative Grading Scheme for the Labs (the exact point amounts may still change) Lab 1: Developing Effective Testbenches – 4 points Lab 2: Implementing Combinational Logic in VHDL – 5 points Lab 3: Implementing Sequential Logic in VHDL – 5 points Lab 4: State machines – 6 points Lab 5: VGA display – 6 points Lab 6: DSP & FPGA Embedded Resources – 6 points Lab 7: PicoBlaze & Serial Communication – 6 points Lab 7a: Logic Analyzer – 2 points

Penalties and Bonus Points one-week delay: 1/3 of points i.e., you can earn max. 4 out of 6 points No submissions or demos will be accepted more than one week after the assignment is due! Bonus points: Majority of labs will have opportunities for earning bonus points by doing additional tasks

Flexibility in the Second Part of the Semester Schedule A: Lab 5: VGA display (2 weeks) – 6 points Lab 6: DSP & FPGA Embedded Resources (2 weeks) – 6 points Lab 7: PicoBlaze & Serial Communication (2 weeks) – 6 points Lab 7a: Logic Analyzer (in class) – 2 points Total: 20 points Schedule B: Lab 5: VGA display (3 weeks) – 6 points Lab 6: DSP & FPGA Embedded Resources (3 weeks) – 6 points Lab 7a: Logic Analyzer (in class) – 2 points Total: 14 points

Required Textbook Recommended Textbook Pong P. Chu, FPGA Prototyping by VHDL Examples: Xilinx Spartan-3 Version, Wiley-Interscience, 2008. Recommended Textbook Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, McGraw-Hill, 3rd or 2nd Edition

Basic Textbook Part I Basic Digital Circuits combinational sequential state machines and ASM charts Part II I/O Modules video serial communication keyboard mouse Part III PicoBlaze Microcontroller block diagram instruction set I/O interface interrupts

Undergraduate Computer Engineering Courses ECE 331 ECE 332  C  C ECE 445  C  C ECE 448 ECE 447 ECE 492 Color code: BS EE ECE 493 BS CpE

ECE 448, FPGA and ASIC Design with VHDL Topics VHDL: - writing synthesizable RTL level code in VHDL - writing testbenches FPGAs: - architecture of FPGA devices - embedded resources (memories, multipliers, DSP units) - tools for the computer-aided design with FPGAs - current FPGA families & future trends

High-level ASIC Design: - standard cell implementation approach - logic synthesis tools - differences between FPGA & standard-cell ASIC design flow Applications: - basics of computer arithmetic - applications from communications, digital signal processing, cryptography, etc. Platforms & Interfaces: FPGA boards I/O modules (VGA controller, serial communication modules) microprocessor board–FPGA board interfaces (USB, PCIe) New trends: microprocessors embedded in FPGAs (PicoBlaze, ARM) using high-level programming languages to design hardware

Tasks of the course Comprehensive Advanced introduction to FPGA & front-end ASIC technology Advanced course on digital system design with VHDL Testing equipment writing VHDL code for synthesis design using finite state machines and algorithmic state machines testbenches hardware: Xilinx FPGAs, Altera FPGAs, Library of standard ASIC cells software: VHDL simulators, Synthesis tools, Implementation Tools - oscilloscopes - logic analyzer

VHDL for Specification VHDL for Simulation VHDL for Synthesis

Levels of design description Algorithmic level Level of description most suitable for synthesis Register Transfer Level Logic (gate) level Circuit (transistor) level Physical (layout) level

Register Transfer Level (RTL) Design Description Combinational Logic … Registers

VHDL Design Styles VHDL Design Styles dataflow structural behavioral Concurrent statements Components and interconnects Sequential statements Registers, counters, etc. State machines Testbenches Subset most suitable for synthesis

Design Under Test (DUT) Simple Testbench Processes Generating Input Stimuli Design Under Test (DUT) Verify simulated outputs with expected output to see if the design behaves according to specification Observed Outputs ECE 448 – FPGA and ASIC Design with VHDL

Test vectors Set of pairs: {Input i, Expected Output i} Input 1, Expected Output 1 Input 2, Expected Output 2 …………………………… Input N, Expected Output N Test vectors can cover either: - all combinations of inputs (for very simple circuits only) - selected representative combinations of inputs (most realistic circuits) ECE 448 – FPGA and ASIC Design with VHDL

More Advanced Testbench Actual outputs Comparing Actual Outputs vs. Expected Processes Applying Input Stimuli Inputs Design Under Test (DUT) Inputs Expected outputs Test vector constants Verify simulated outputs with expected output to see if the design behaves according to specification Yes/No Design Correct/Incorrect

What is an FPGA? Configurable Logic Blocks I/O Blocks Block RAMs

Two competing implementation approaches FPGA Field Programmable Gate Array ASIC Application Specific Integrated Circuit designed all the way from behavioral description to physical layout no physical layout design; design ends with a bitstream used to configure a device designs must be sent for expensive and time consuming fabrication in semiconductor foundry bought off the shelf and reconfigured by designers themselves

FPGAs vs. ASICs FPGAs ASICs Off-the-shelf High performance Low development costs Low power Short time to the market Low cost (but only in high volumes) Reconfigurability

FPGA Design process (1) Specification (Lab Assignments) Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment 5, this time your unit has to be able to perform an encryption algorithm by itself, executing 32 rounds….. Specification (Lab Assignments) On-paper hardware design (Block diagram & ASM chart) VHDL description (Your Source Files) Library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity RC5_core is port( clock, reset, encr_decr: in std_logic; data_input: in std_logic_vector(31 downto 0); data_output: out std_logic_vector(31 downto 0); out_full: in std_logic; key_input: in std_logic_vector(31 downto 0); key_read: out std_logic; ); end AES_core; Functional simulation Synthesis Post-synthesis simulation

FPGA Design process (2) Implementation Timing simulation Configuration On chip testing

Simulation Tools ISim

FPGA Synthesis Tools

Logic Synthesis VHDL description Circuit netlist architecture MLU_DATAFLOW of MLU is signal A1:STD_LOGIC; signal B1:STD_LOGIC; signal Y1:STD_LOGIC; signal MUX_0, MUX_1, MUX_2, MUX_3: STD_LOGIC; begin A1<=A when (NEG_A='0') else not A; B1<=B when (NEG_B='0') else not B; Y<=Y1 when (NEG_Y='0') else not Y1; MUX_0<=A1 and B1; MUX_1<=A1 or B1; MUX_2<=A1 xor B1; MUX_3<=A1 xnor B1; with (L1 & L0) select Y1<=MUX_0 when "00", MUX_1 when "01", MUX_2 when "10", MUX_3 when others; end MLU_DATAFLOW;

FPGA Implementation After synthesis the entire implementation process is performed by FPGA vendor tools

Design Process control from Active-HDL

Top Level ASIC Digital Design Flow Design Inception RTL Design Synthesis Macro Development Place + Route Physical Verification Design Complete

Xilinx FPGA Tools ECE Labs Aldec Active-HDL Design Flow Xilinx ISE Aldec Active-HDL (IDE) Mentor Graphics ModelSim SE or Xilinx ISim Xilinx XST or Synopsys Synplify Premier DP Xilinx ISE Design Suite Xilinx XST or Synopsys Synplify Premier DP Xilinx ISE Design Suite (IDE) simulation synthesis implementation

Xilinx FPGA Tools Home Aldec Active-HDL Xilinx ISE Design Flow Mentor Graphics ModelSim PE or Xilinx ISim Student Edition Aldec Active-HDL Student Edition (IDE) Xilinx XST (restricted) Xilinx XST (restricted) Xilinx ISE WebPACK (restricted) Xilinx ISE WebPACK (IDE) (restricted) simulation synthesis implementation

Digilent Basys2 FPGA Board

Digilent Basys2 FPGA Board

Block slices (CLB slices) FPGA available on the board Xilinx Spartan 3E-100, XC3S100E FPGA 100,000 equivalent logic gates 960 CLB slices 72 kbits of memory in block RAMs Block RAMs Configurable Logic Block slices (CLB slices) Programmable Interconnects

Digital system design technologies coverage in the CpE & EE programs at GMU Microprocessors FPGAs ASICs Computer Organization ECE 448 ECE 445 ECE 447 FPGA and ASIC Design with VHDL Single Chip Microcomputers ECE 431 Digital Circuit Design Digital System Design with VHDL ECE 545 ECE 511 Microprocessors Computer Arithmetic ECE 645 Digital Integrated Circuits ECE 611 Advanced Microprocessors ECE 586 ECE 612 Real-Time Embedded Systems ECE 681 VLSI Design for ASICs

Why ECE 448 is a challenging course? need to “relearn” VHDL need to learn new tools need to perform practical experiments time needed to complete experiments

(based on a student survey) Difficulties (based on a student survey) finding time to do the labs - 15 learning VHDL – 2 getting used to software – 1

Self-evaluation 3 – better than expected 8 – worse than expected (based on a student survey) 3 – better than expected 8 – worse than expected 16 – as well as expected

Why is this course worth taking? VHDL for synthesis: one of the most sought-after skills knowledge of state-of-the-art tools used in the industry knowledge of the modern FPGA & ASIC technologies knowledge of state-of-the-art testing equipment design portfolio that can be used during job interviews unique knowledge and practical skills that make you competitive on the job market