Presentation is loading. Please wait.

Presentation is loading. Please wait.

Hankuk University of Foreign Studies Radio Communication Systems Lab. 1 Digital IC design (8)

Similar presentations


Presentation on theme: "Hankuk University of Foreign Studies Radio Communication Systems Lab. 1 Digital IC design (8)"— Presentation transcript:

1 Hankuk University of Foreign Studies Radio Communication Systems Lab. 1 Digital IC design (8) 2012. 05.18

2 Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100 2

3 Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100  EasySoC-100 FPCA JTAG connect to PC  Create project as below

4 Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100  Create VHDL file

5 Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100  library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_unsigned.all;  entity exam is  port(rstb: in std_logic;key: in std_logic_vector(7 downto 0);  led_out: out std_logic_vector(7 downto 0));  end exam;  architecture design1 of exam is  signal clk_div:std_logic;  begin  process(key, rstb)  begin  if(rstb = '0')then  led_out <= "00000000";  else  case(key)is  when "10000000"=>led_out <= "11111110";  when "01000000"=>led_out <= "11111101";  when "00100000"=>led_out <= "11111011";  when "00010000"=>led_out <= "11110111";   when "00001000"=>led_out <= “11101111";  when "00000100"=>led_out <= “11011111";  when "00000010"=>led_out <= “10111111";  when "00000001"=>led_out <= “01111111";  when others =>led_out <= "11111111";  end case;  end if;  end process;  end design1; 5

6 Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100  After compilation, we need to set pin in EasySoC-100

7 Hankuk University of Foreign Studies Radio Communication Systems Lab. 7 Practice with EasySoC-100  Pin assign

8 Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100  After setting pins, start compilation again  Click programmer button

9 Hankuk University of Foreign Studies Radio Communication Systems Lab. 9 Practice with EasySoC-100 1. Add Hardware 2. ByteBlasterMV or ByteBlaster II 3. Choose ByteBlasterII

10 Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100  Double click and select.sof file for programming FPGA  After click Start, wait 100% progress

11 Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100 11 1. Program/Configure check 2. Start 3. Download

12 Hankuk University of Foreign Studies Radio Communication Systems Lab. Report 12

13 Hankuk University of Foreign Studies Radio Communication Systems Lab. 13 Download to FPGA (3) 1. Auto Detect 2. Double click 3. led_controller.sof

14 Hankuk University of Foreign Studies Radio Communication Systems Lab. 14 Led control by Keypad (2)  Pin number of LED & Keypad

15 Hankuk University of Foreign Studies Radio Communication Systems Lab. 15 Led control by Keypad (3)  Pin assign


Download ppt "Hankuk University of Foreign Studies Radio Communication Systems Lab. 1 Digital IC design (8)"

Similar presentations


Ads by Google