Presentation is loading. Please wait.

Presentation is loading. Please wait.

Arbitrary Waveforms Module M10.5 Section 7.2. CLK DQ !Q CLK DQ !Q CLK DQ !Q Q0Q0.D Q1 Q2 Q1.D Q2.D s0 0 0 0 0 0 1 s1 0 0 1 0 1 0 s2 0 1 0 0 1 1 s3 0 1.

Similar presentations


Presentation on theme: "Arbitrary Waveforms Module M10.5 Section 7.2. CLK DQ !Q CLK DQ !Q CLK DQ !Q Q0Q0.D Q1 Q2 Q1.D Q2.D s0 0 0 0 0 0 1 s1 0 0 1 0 1 0 s2 0 1 0 0 1 1 s3 0 1."— Presentation transcript:

1 Arbitrary Waveforms Module M10.5 Section 7.2

2 CLK DQ !Q CLK DQ !Q CLK DQ !Q Q0Q0.D Q1 Q2 Q1.D Q2.D s0 0 0 0 0 0 1 s1 0 0 1 0 1 0 s2 0 1 0 0 1 1 s3 0 1 1 1 0 0 s4 1 0 0 1 0 1 s5 1 0 1 1 1 0 s6 1 1 0 1 1 1 s7 1 1 1 0 0 0 State Q2 Q1 Q0 Q2.D Q1.D Q0.D Recall Divide-by-8 Counter Use Q2, Q1, Q0 as inputs to a combinational circuit to produce an arbitrary waveform.

3 s0 0 0 0 0 0 1 1 s1 0 0 1 0 1 0 1 s2 0 1 0 0 1 1 0 s3 0 1 1 1 0 0 0 s4 1 0 0 1 0 1 0 s5 1 0 1 1 1 0 1 s6 1 1 0 1 1 1 0 s7 1 1 1 0 0 0 1 State Q2 Q1 Q0 Q2.D Q1.D Q0.D y Example (See Exercise 7.10) Q2 Q1 Q0 00011110 0 1 11 11 y = !Q2 & !Q1 # Q2 & Q0 1 1 0 0 0 1 0 1

4 “ Inputs: PB PIN 10; “Clock “ Outputs: Q2..Q0 PIN 37,36,35 ISTYPE 'reg buffer'; " LED 6..8 Q = [Q2..Q0]; " 3-bit output vector Y PIN 44 ISTYPE ‘com’;“LED 1 EQUATIONS q0.d = !q0; q1.d = !q1 & q0 # q1 & !q0; q2.d = !q2 & q1 & q0 # q2 & !q1 # q2 & !q0; y = !q2 & !q1 # q2 & q0;

5 ORDER:clock,%2,q0,%2,q1,%2,q2,%2,y; VECTORS: C LLLH C HLLH C LHLL C HHLL C LLHL C HLHH C LHHL C HHHH C LLLH C HLLH C LHLL C HHLL C LLHL C HLHH C LHHL C HHHH CUPL Simulation File

6 CUPL Simulation Output File


Download ppt "Arbitrary Waveforms Module M10.5 Section 7.2. CLK DQ !Q CLK DQ !Q CLK DQ !Q Q0Q0.D Q1 Q2 Q1.D Q2.D s0 0 0 0 0 0 1 s1 0 0 1 0 1 0 s2 0 1 0 0 1 1 s3 0 1."

Similar presentations


Ads by Google