Presentation is loading. Please wait.

Presentation is loading. Please wait.

Standard Single Purpose Processors: Peripherals. This Week In DIG II  Timers and counters  Watchdog timers  UART (Universal asynchronous receiver /

Similar presentations


Presentation on theme: "Standard Single Purpose Processors: Peripherals. This Week In DIG II  Timers and counters  Watchdog timers  UART (Universal asynchronous receiver /"— Presentation transcript:

1 Standard Single Purpose Processors: Peripherals

2 This Week In DIG II  Timers and counters  Watchdog timers  UART (Universal asynchronous receiver / transmitter)  Pulse width modulators  LCD controllers  Keypad controllers  Stepper motor controllers  Analog to digital converters  Real time clocks Chapter 4 Standard Single Purpose Processors: Peripherals

3 Introduction Single-purpose processors  Performs specific computation task  Custom single-purpose processors Designed by us for a unique task For embedded system designers, such processors –have lower NRE cost (somebody else designed the chip) –have lower unit cost (mass production) –faster, lower power, smaller size than general purpose processor –however, adding a single purpose processor to a general purpose processor based system may increase system complexity and cost.  Standard single-purpose processors “Off-the-shelf” -- pre-designed for a common task a.k.a., peripherals On-chip peripherals, if placed/integrated within the CPU

4 Timers, counters, watchdog timers  Timer: measures time intervals  To generate timed output events e.g., hold traffic light green for 10 s  To measure time between events e.g., measure a car’s speed  Based on counting clock pulses E.g., for a 100 MHz clock, Clk period would be 10 ns And we count 20,000 Clk pulses Then 200 microseconds have passed 16-bit counter would count up to 2 16 = 65,535*10 ns  655.35  s (range), with a resolution of 10 ns Top: indicates top count reached, wrap-around How can we measure a time interval larger than the range? 16-bit up counter Clk Cnt Basic timer Top Reset 16 cnt: # of clock pulses since the counter was last reset (set to zero).

5 Counters  Counter: like a timer, but counts pulses on a general input signal rather than clock  e.g., count cars passing over a sensor  We can often configure device as either a timer or counter  Counters and timers can be combined to measure rates, such as the speed of a car (# of times wheel rotates in one second). 16-bit up counter Clk 16 Cnt_in 2x1 mux Mode Timer/counter Top Reset Cnt

6 Other timer structures Time with prescaler 16-bit up counter Clk Prescaler Mode  Interval timer  Indicates when desired time interval has passed  We set terminal count to desired interval Number of clock cycles = Desired time interval / Clock period If f clock =100 MHZ, how many times do we need to count to reach 3μs? Top both resets the counter, and informs us when the count is up. It is typically connected to an interrupt. We can also set the count back from terminal count to zero.  Cascaded counters  Prescaler  Divides clock  Increases range, decreases resolution. 16-bit up counter Clk 16 Terminal count = Top Reset Timer with a terminal count Cnt Top2 16-bit up counter Clk 16-bit up counter 16 Cnt2 Top1 16/32-bit timer Cnt1 16 Which one has lower significant bits? Enable/disable count Enable/disable interrupt Determine dividing factor Etc.

7 Example: Reaction Timer indicator light reaction button time: 100 ms LCD /* pseudocode */ #define MS_INIT 63535 void main(void){ int count_milliseconds = 0; configure timer mode set Cnt to MS_INIT wait a random amount of time turn on indicator light start timer while (user has not pushed reaction button){ if(Top) { stop timer set Cnt to MS_INIT start timer reset Top count_milliseconds++; } turn light off printf(“time: %i ms“, count_milliseconds); }  Measure time between turning light on and user pushing button  16-bit timer, clk period is 83.33 ns (12 MHz), counter increments every 6 instruction cycles  Resolution = 6*83.33=0.5 microsec (too high).  Range = 65535*0.5 microseconds = 32.77 ms (too low for this application)  Want program to count 100s of ms, w/o a prescalar, how the extend the range…?  Initialize timer such that it will overflow in 1 ms, then count the number of overflows! 1 ms  1 ms/(0.5  s/inst.cycle)  2000 inst. cycles so initialize counter to 65535 – 2000 = 63535 Counts from 63535  65535 in 1 ms  overflow What inaccuracy does this solution have?

8 Watchdog timer scalereg checkreg timereg to system reset or interrupt oscclk prescaler overflow /* main.c */ main(){ wait until card inserted call watchdog_reset_routine while(transaction in progress){ if(button pressed){ perform corresponding action call watchdog_reset_routine } /* if watchdog_reset_routine not called every < 2 minutes, interrupt_service_routine is called */ } watchdog_reset_routine(){ /* checkreg is set so we can load value into timereg. Zero is loaded into scalereg and 11070 is loaded into timereg */ checkreg = 1 scalereg = 0 timereg = 11070 } void interrupt_service_routine(){ eject card reset screen }  Instead of timer generating a signal every X time units, we must reset timer every X time unit, else timer generates a signal (time-out!)  Under normal operation, we deliberately reset the watch-dog timer every so often.  Timer O/P is typically connected to the  P interrupt I/P. Common use: detect failure, self-reset  EP users typically do not have access to an external reset/reboot, hence such systems must be able to reset in case of malfunction.  Example: ATM time-out  12 MHz oscillator freq.  timereg is incremented every 12*2 11 *1/(osc)=2 ms  16-bit timer, 2 ms resolution  timereg value = 131070–X  For 2 minute time-out, X = 120,000 ms  12 11-bit up-counter 16-bit up-counter  timereg range: 2*(2 16 -1)=131070 ms  timereg = 11,070

9 Serial Transmission Using UARTs embedded device 1 0 0 1 1 0 1 1 Sending UART 10011011 Receiving UART 10011011 start bit data end bit  UART: Universal Asynchronous Receiver Transmitter  Takes parallel data and transmits serially  Receives serial data and converts to parallel  Necessary when transmitting data long distance or when there are very few I/O pins  Parity: extra bit for simple error checking  Transmission protocol:  Start bit, stop bit  Baud rate  Type of parity  Minimum # of bits between two transmission  Baud rate - speed  signal changes per second  bit rate usually higher Sampling interval

10 Pulse width modulator clk pwm_o 25% duty cycle – average pwm_o is 1.25V clk pwm_o 50% duty cycle – average pwm_o is 2.5V. clk pwm_o 75% duty cycle – average pwm_o is 3.75V.  Generates pulses with specific high/low times  Duty cycle: % time high  Square wave: 50% duty cycle  Common use: generate clock like signals for another device, or control average voltage to an electric device  Simpler than DC-DC converter or digital-analog converter  DC motor speed, dimmer lights  Another use: encode commands, receiver uses timer to decode  1 ms interval  command A  2 ms interval  command B  4 ms interval  command C, etc. - 5V - 0V - 5V - 0V - 5V - 0V - 5V - 0V - 5V - 0V - 5V - 0V

11 Controlling a DC motor with a PWM void main(void){ /* controls period */ PWMP = 0xff; /* controls duty cycle */ PWM1 = 0x7f; while(1){}; } The PWM alone cannot drive the DC motor, a possible way to implement a driver is shown below using an NPN transistor. Internal Structure of PWM clk_div cycle_high (8 bit) 8bit counter ( 0 – 254) 8-bit comparator controls period  how fast the counter increments counter ≤ cycle_high, pwm_o = 1 counter≥cycle_high, pwm_o = 0 pwm_o clk Relationship between applied voltage and speed of the DC Motor DC MOTOR 5V From processor We wish to control the speed of a DC motor, which is proportional to the voltage applied to the motor. PWM can be used to provide average voltages to control the motor rpm. Cycle_high = FF  duty=100% Cycle_high = 7F  duty = 50% Cycle_high = 00  duty = 0% 5V B A

12 Liquid Crystal Displays (LCDs)  Low cost, low power device for displaying text and images  Very commonly used in ES, since they do not have monitors  Many types  Seven-segment LCD, an LCD driver excites one or more of seven inputs to light any combination of the segments  Dot-matrix LCD, displays alphanumeric characters. Simplest ones have 5 columns, 8 rows for each character. More complex ones have better resolution  Additional functionality can be obtained using an LCD controller (blink, inverted display, etc.)  The LCD controller decodes predetermined control words to perform corresponding actions of the LCS

13 CODES I/D = 1 cursor moves leftDL = 1 8-bit I/D = 0 cursor moves rightDL = 0 4-bit S = 1 with display shiftN = 1 2 rows S/C =1 display shiftN = 0 1 row S/C = 0 cursor movementF = 1 5x10 dots R/L = 1 shift to rightF = 0 5x7 dots R/L = 0 shift to left LCD controller E R/W RS DB7–DB0 LCD controller communications bus μCμC 8 void WriteChar(char c){ RS = 1; /* indicate data being sent */ DATA_BUS = c; /* send data to LCD */ EnableLCD(45); /* toggle the LCD with appropriate delay */ }

14 Keypad controller

15 Stepper motor controller Red A White A’ Yellow B Black B’ MC3479P 1 5 4 3 2 7 8 6 16 15 14 13 12 11 10 9 Vd A’ A GND Bias’/Set Clk O|C Vm B B’ GND Phase A’ CW’/CCW Full’/Half Step  Stepper motor: rotates fixed number of degrees when given a “step” signal  In contrast, DC motor just rotates when power applied, coasts to stop  Characterized by degrees / step (1.8º) or steps required to move 360º (200 steps)  Very commonly seen in embedded systems (VCRs, robots, printers, disk drives, copiers, etc.)  Rotation achieved by applying specific voltage sequence to coils  Controller greatly simplifies this

16 Stepper motor with controller (driver) 2 A’ 3 A 10 7 B 15 B’ 14 MC3479P Stepper Motor Driver 8051 P1.0 P1.1 Stepper Motor CLK CW’/CCW The output pins on the stepper motor driver do not provide enough current to drive the stepper motor. To amplify the current, a buffer is needed. One possible implementation of the buffers is pictured to the left. Q1 is an MJE3055T NPN transistor and Q2 is an MJE2955T PNP transistor. A is connected to the 8051 microcontroller and B is connected to the stepper motor. void main(void){ */turn the motor forward */ cw=0; /* set direction */ clk=0; /* pulse clock */ delay(); clk=1; /*turn the motor backwards */ cw=1; /* set direction */ clk=0; /* pulse clock */ delay(); clk=1; } /* main.c */ sbit clk=P1^1; sbit cw=P1^0; void delay(void){ int i, j; for (i=0; i<1000; i++) for ( j=0; j<50; j++) i = i + 0; }

17 Stepper motor without controller (driver) Stepper Motor 8051 GND/ +VP2.4 P2.3 P2.2 P2.1 P2.0 A possible way to implement the buffers is located below. The 8051 alone cannot drive the stepper motor, so several transistors were added to increase the current going to the stepper motor. Q1 are MJE3055T NPN transistors and Q3 is an MJE2955T PNP transistor. A is connected to the 8051 microcontroller and B is connected to the stepper motor. Q2 +V 1K Q1 1K +V A B 330 /*main.c*/ sbit notA=P2^0; sbit isA=P2^1; sbit notB=P2^2; sbit isB=P2^3; sbit dir=P2^4; void delay(){ int a, b; for(a=0; a<5000; a++) for(b=0; b<10000; b++) a=a+0; } void move(int dir, int steps) { int y, z; /* clockwise movement */ if(dir == 1){ for(y=0; y<=steps; y++){ for(z=0; z<=19; z+4){ isA=lookup[z]; isB=lookup[z+1]; notA=lookup[z+2]; notB=lookup[z+3]; delay(); } /* counter clockwise movement */ if(dir==0){ for(y=0; y<=step; y++){ for(z=19; z>=0; z - 4){ isA=lookup[z]; isB=lookup[z-1]; notA=lookup[z -2]; notB=lookup[z-3]; delay( ); } void main( ){ int z; int lookup[20] = { 1, 1, 0, 0, 0, 1, 1, 0, 0, 0, 1, 1, 1, 0, 0, 1, 1, 1, 0, 0 }; while(1){ /*move forward, 15 degrees (2 steps) */ move(1, 2); /* move backwards, 7.5 degrees (1step)*/ move(0, 1); }

18 Analog-to-digital converters proportionality V max = 7.5V 0V 1111 1110 0000 0010 0100 0110 1000 1010 1100 0001 0011 0101 0111 1001 1011 1101 0.5V 1.0V 1.5V 2.0V 2.5V 3.0V 3.5V 4.0V 4.5V 5.0V 5.5V 6.0V 6.5V 7.0V analog to digital 4 3 2 1 t1t2t3 t4 0100100001100101 time analog input (V) Digital output digital to analog 4 3 2 1 0100100001100101 t1t2t3 t4 time analog output (V) Digital input # of bits available for digital encoding Present analog voltage Present digital encoding Max analog voltage V max =7.5 V, n=4 bits, e=3V  3/7.5 = d/15  d=6  d=0110 Volts between successive digital encodings (0.5 V above)

19 Given an analog input signal whose voltage should range from 0 to 15 volts, and an 8-bit digital encoding, calculate the correct encoding for 5 volts. Then trace the successive-approximation approach to find the correct encoding. 5/15 = d/(28-1) d= 85 Successive-approximation method Digital-to-analog conversion using successive approximation 01000000 Encoding: 01010101 ½(V max – V min ) = 7.5 volts V max = 7.5 volts. ½(7.5 + 0) = 3.75 volts V min = 3.75 volts. 0000000001000000 ½(7.5 + 3.75) = 5.63 volts V max = 5.63 volts ½(5.63 + 3.75) = 4.69 volts V min = 4.69 volts. 01010000 ½(5.63 + 4.69) = 5.16 volts V max = 5.16 volts. 01010000 ½(5.16 + 4.69) = 4.93 volts V min = 4.93 volts. 01010100 ½(5.16 + 4.93) = 5.05 volts V max = 5.05 volts. 01010100 ½(5.05 + 4.93) = 4.99 volts 01010101

20 Homework  Design a reaction timer using your BL-1800  Determine what watch dog timer(s) is (are) present in BL-1800


Download ppt "Standard Single Purpose Processors: Peripherals. This Week In DIG II  Timers and counters  Watchdog timers  UART (Universal asynchronous receiver /"

Similar presentations


Ads by Google