Presentation is loading. Please wait.

Presentation is loading. Please wait.

Top-level Verilog Designs Discussion D9.1 Example 12.

Similar presentations


Presentation on theme: "Top-level Verilog Designs Discussion D9.1 Example 12."— Presentation transcript:

1 Top-level Verilog Designs Discussion D9.1 Example 12

2 Mux / 7-Segment Decoder

3 // Example 12: Top-level design module mux7seg ( input wire [7:0] sw, input wire s1, output wire [6:0] a_to_g ); // Intermediate signal wire [3:0] y; mux24 MUX1(.a(sw[3:0]),.b(sw[7:4]),.s(s1),.y(y) ); hex7seg d7R(.x(y),.a_to_g(a_to_g) ); endmodule

4 mux24 MUX1(.a(sw[3:0]),.b(sw[7:4]),.s(s1),.y(y) );

5 hex7seg d7R(.x(y),.a_to_g(a_to_g) );

6 // Example 12: Top-level design module mux7seg ( input wire [7:0] sw, input wire s1, output wire [6:0] a_to_g ); // Intermediate signal wire [3:0] y; mux24 MUX1(.a(sw[3:0]),.b(sw[7:4]),.s(s1),.y(y) ); hex7seg d7R(.x(y),.a_to_g(a_to_g) ); endmodule

7 Aldec Active-HDL Simulation

8 2-Digit / 7-Segment Display

9 // Example 12b: 2-digit, 7-segment display module two7seg ( input wire [7:0] sw, output wire [6:0] a_to_g, output wire [6:0] aa_to_gg ); hex7seg d7L(.x(sw[7:4]),. a_to_g(aa_to_gg) ); hex7seg d7R(.x(sw[3:0]),. a_to_g(a_to_g) ); endmodule

10 Aldec Active-HDL Simulation


Download ppt "Top-level Verilog Designs Discussion D9.1 Example 12."

Similar presentations


Ads by Google