Presentation is loading. Please wait.

Presentation is loading. Please wait.

CMP Modeling as Part of Design for Manufacturing

Similar presentations


Presentation on theme: "CMP Modeling as Part of Design for Manufacturing"— Presentation transcript:

1 CMP Modeling as Part of Design for Manufacturing
David Dornfeld Will C. Hall Professor of Engineering Laboratory for Manufacturing and Sustainability Department of Mechanical Engineering University of California Berkeley CA

2 Outline Modeling objectives and perspective
CMP process model development Short review Towards design for manufacturing (DFM)

3 Levels of Flexibility - Design to Manufacturing
Software driven Hardware driven

4 (green, sustainability,
Modeling Roadmap for maximum impact Functional Model Feedback (validation) Integration with CAD Include “islands of automation” and existing models) Include supply chain with constraints (e.g. “quality gates” ) Prototype based on model Extend to “social impact” constraints (green, sustainability, health, safety, etc.) Minimum cost/CoO Maximum production Maximum flexibility Maximum quality Minimum environmental & social impact Broadest integration * Through software

5 Is there need for this? Design Manf’g Design Manf’g

6 What you see depends on where you are standing!
+ Design Manf’g + Manf’g Design Source: Y. Granik, Mentor Graphics

7 What’s your world view? Process Process Process Design Design Design

8 Interfacial and Colloid Phenomena
Components of Chemical Mechanical Planarization Mechanical Phenomena Chemical Phenomena Interfacial and Colloid Phenomena

9 Scale Issues in CMP Material Removal Mechanical particle forces
Scale/size nm µm mm Material Removal Mechanical particle forces Particle enhanced chemistry Chemical Reactions Active Abrasives Pores, Walls Grooves Tool mechanics, Load, Speed critical features dies Pad Mechanism Layout wafer From E. Hwang, 2004

10 An overview of CMP research in Berkeley
Cu CMP Bulk Cu CMP Barrier polishing W CMP Oxide CMP Poly-Si CMP Bulk Cu slurry Barrier slurry W slurry Oxide slurry Poly-Si slurry Abrasive type, size and concentration Dornfeld Doyle [oxidizer], [complexing agent], [corrosion inhibitor], pH … Talbot Chemical reactions Mechanical material removal mechanism in abrasive scale Pad asperity density/shape Pad mechanical properties in abrasive scale Physical models of material removal mechanism in abrasive scale Pattern Topography MIT model Pad properties in die scale Models of WIDNU Better control of WIWNU Reducing ‘Fang’ Small dishing & erosion Ultra low-k integration Smaller WIDNU Reducing slurry usage Uniform pad performance thru it’s lifetime Longer pad life time Reducing scratch defects Better planarization efficiency E-CMP Slurry supply/ flow pattern in die scale Pad design Wafer scale pressure NU Models of WIWNU Wafer scale velocity profile Fabrication Fabrication technique Wafer bending with zone pressures Slurry supply/ flow pattern in wafer scale Test model design goal Pad development Pad groove

11 CMP Modeling History in SFR/FLCC*
before SFR/FLCC now DfM/MfD Preston’s Eqn. MRR = CPV Combined eqn. R=CM/(C+M) MRR= N  Vol Luo (SFR) Tribo-electro-chemical model Tripathi (FLCC) Computational efficiency Flexible in scale Process links Choi (FLCC) MRR = Interfacial/colloidal effects * According to Dornfeld

12 Interactions between Input Variables
Four Interactions: Wafer-Pad Interaction; Pad-Abrasive Interaction; Wafer-Slurry Chemical Interaction; Wafer-Abrasive Interaction Velocity V Vol Chemically Influenced Wafer Surface Wafer Abrasive particles on Contact area with number N Abrasive particles in Fluid (All inactive) This is our 2-D Micro-view of wafer-pad interface. There are several critical interaction interfaces in the model. First, let us look at the interaction between the wafer and pad. The pad is a rough surface with micro-scale asperities. The wafer and pad will contact over these asperities only. This indicates that the contact area is much smaller than the area of the wafer. Contact pressure on the asperities is much larger than the down pressure. The slurry with slurries are distributed over the wafer-pad interface. The second and third interactions is the interactions between the slurry and wafer and those between the slurry and wafer. Two elements exist in the slurry, one chemical and the other the abrasives, which is the cutting tools. The slurry chemicals will react with the wafer surface and a chemical affected layer will be formed over the wafer surface. Part of slurry abrasives will float in the slurry. Other part of slurry abrasives will sit on the wafer-pad contact area. We use a term active abrasive to describe the abrasives in the contact area. This chemical affected layer will be removed continuously by the abrasives sitting on the wafer-pad contact area. This is a bigger view of the wafer-abrasive-pad contact. Once we know the abrasive number in the contact area, which is based on the slurry-pad contact, and the volume removed by a single abrasive, which is based on wafer-pad contact, then we can evaluate the material removal in this part of interface. These should be based on the clarification of the three interactions between the three elements. In the following, we will discuss step by step how these interactions are modeled. Polishing pad Pad asperity Active abrasives on Contact area Source: J. Luo and D. Dornfeld, IEEE Trans: Semiconductor Manufacturing, 2001

13 Pad Materials/Shape Effects
Stage 1 Stage 2 Stage 3 Dishing and erosion Linear Viscoelastic Pad Dishing d 3 Erosion e 2 1 Df S1=Df1 S=S0 H=Hcu0+Hox0 H= Hstage1 Hcu0 Hox0 Pad/wafer contact modes in damascene polishing

14 Effect of Pattern Density - Planarization Length (PL)
ILD Metal lines Planarization Length High-density region Low-density region Global step

15 Modeling of pattern density effects in CMP
Effective pattern density a=320um a=640um a=1280um < Effective density map > < Test pattern > < Post CMP film thickness prediction at die-scale > Planarization length (window size) effect on “Up area”

16 Feature level interaction between pad asperities and pattern topography
Z(x,y) Z_pad Reference height (z=0) F_tent > F_die ? F_tent < F_die ? ++Z_pad --Z_pad No Yes Z_pad dz Z(x,y) z Z_pad

17 Characterization of Pad Surface
Asperity Height (µm) Probability Density (µm-1) a active asperities b (source : A.Scott Lawing, NCCAVS, CMPUG 5/5/2004)

18 Model for the simulation
fitting parameter accounting for chemical reactions, abrasive size distribution etc. abrasive particle size asperity radius pad/film properties polishing speed pad asperity height distribution New model pattern density effect Mean distance between asperities hardness of material polished

19 HDP-CVD Deposition Model
Modeling Overview Chip Layout Pattern density Line space Line width CMP Input Thickness HDP-CVD Deposition Model CMP model Evolution Nitride thinning

20 Adding the electro-chemical effects
Develop a transient tribo-electro-chemical model for material removal during copper CMP Experimentally investigate different components of the model Using above model develop a framework for pattern dependency effects. Slurry chemistry (pH, conc. of oxidizer, inhibitor & complexing agent) CMP Model 1. Passivation Kinetics 2. Mechanical Properties of Passive Film 3. Abrasive-copper Interaction Frequency & Force Pad properties layers’ hardness, structure Removal Rate (RR) Abrasive Type, size & conc. Planarization, Uniformity, Defects Incoming topography Polishing conditions (pressure P, velocity V) Polished material

21 Application: Polishing induced stress
Pressure concentrated locally (about 300 psi)  Risk of cracking in the sub layers

22 FEM Analysis: Model COPPER Layer E = 129.8 GPa ; α = 0.34
TANTALUM Layer E = GPa ; α = 0.34 LOW-K Layer E = 5 – 20 GPa ; α = 0.25 BOUNDARY CONDITIONS: - Fixed at the bottom - Periodic Boundary Conditions (symmetry) LOADS: - Downward Constant Pressure – 2psi - Horizontal Shear (friction) stress – 0.7psi

23 FEM Analysis in CMP Von Mises stresses Step1 Step2 Step3 Step3
Low-k: E = 5GPa Low-k: E = 20GPa

24 Modeling Challenges Present methods treat CMP process as a black box; are blind to process & consumable parameters Need detailed process understanding For modeling pattern evolution accurately Present methods do not predict small feature CMP well For process design (not based on just trail and error) Multiscale analysis needed to capture different phenomena: At sufficient resolution & speed CMP process less rigid than other processes: possibility of optimizing consumable & process parameters based on chip design MfD & DfM Source of pattern dependence is twofold: Asperity contact area (not addressed yet) Pad hard layer flexion due to soft layer compression (addressed by previous models)

25 Present Approach (Praesegus/Cadence, Synopsys)
Extensive test/measurements required Model: captures only 1 source of pattern dependency coarse (resolution ~10µm) Helps in dummy fill - Design improvement but no process optimization Optimization should be across process & design: - Need to be able to tune all the available control knobs Specific to particular processing conditions Source: Praesegus Inc.

26 Pattern Related Defects
Low pattern density High pattern density erosion & dishing residue film Initial topography Non-uniform removal Local planarization End point Over polishing Present Approach MRR(x,y) = material removal rate at (x,y) K = Blanket MRR ρ(x,y) = effective pattern density at (x,y) R Time step evolution ρ(x,y) calculated as a convolution of a weighted function (elliptic) over evaluation window. Evaluation window size (R) determined empirically. Nominal Pattern density = Area(high features) / (Total Area)

27 Need a “GoogleEarth” view of modeling
We are here

28 CMP phenomena at different scales
Head Platen Pad down- force slurry supply rotation of wafer head Wafer 4-12” Copper Feature pad asperity abrasive particles 100nm-10µm ~1µm 1-10µm Pad asperity Abrasive Pad/Wafer Die Feature/Asperity Abrasive Contact

29 Pattern Evolution Framework
Consumables Polishing Conditions Material Removal Model STI oxide evolution* 0.112μm/0.1681μm before 40sec CMP Small feature prediction problems R Time step evolution Space Discretization: Data Structure Asperity contact area (µm) Empirically fit, based on pad flexion (scale=mm) *Choi, Tripathi, Dornfeld & Hansen, “Chip Scale Prediction of Nitride Erosion in High Selectivity STI CMP,” Invited Paper, Proceedings of 11th CMP-MIC, 2006

30 Effects to Capture Multiscale Behavior Far-field Effects
Material removal operates on different scales and contributes to the net material removed in the CMP process Material removal at any location is affected by its position in different scales Different models need to be used to capture behavior at different scales Far-field Effects Most IC manufacturing processes are only dependant on local features CMP performance depends on both local as well as far-field features

31 CMP Model Tree Tree based data structure will encapsulate both wafer features and pattern evolution at various scales

32 Data Structure Efficient surface representation is required
Mesh-based representations allow for fast processing, and have been widely used Need to capture repeating features Use tiles/modular units For “similar” features, use property inheritance from modular features Multiscale analysis Use multiresolution meshes – allow for querying in mm/um/nm scales Also support querying of far-field features along with local features nm m cm mm μm Multiresolution meshes will allow for querying in different scales - resolution will be determined by feature scales; tiling will be used for repeating features.

33 Data Structure Model precision vs. Level of Detail
analysis time Level of Detail Tradeoffs between LOD, analysis time, and accuracy Resolve into smaller features larger features Data Structure Model precision vs. Level of Detail Identify tradeoffs between speed of analysis and the accuracy of the models used Data Structure design motivated by physical considerations Tree levels ≡ phenomenon scale object properties ≡ physical phenomena. Inheritance: Inherit properties from parents at higher levels of tree and from generic object at that level accuracy Example of property inheritance from parent features and base features applied in CMP process model Asperity (feature) CMP Process Model on Asperity Scale Pad (parent) Properties inherited from pad Specific asperity properties Generic Asperity Properties inherited from generic feature

34 Multiscale Optimization Example
Address WIDNU at different levels depending on available flexibility: Change pad hardness (tree level 1) Inflexibility: scratch defects, pad supplier Dummy fill (chip, array level) Inflexibility: design restrictions Change incoming topography (feature level) Inflexibility: deposition process limitation Change chemical reactions, abrasive concentration (abrasive level) Within die non-uniformity Nitride Thinning in STI

35 Thank you for your attention!


Download ppt "CMP Modeling as Part of Design for Manufacturing"

Similar presentations


Ads by Google