Presentation is loading. Please wait.

Presentation is loading. Please wait.

ENG2410 Digital Design LAB #8 LAB #8 Data Path Design.

Similar presentations


Presentation on theme: "ENG2410 Digital Design LAB #8 LAB #8 Data Path Design."— Presentation transcript:

1 ENG2410 Digital Design LAB #8 LAB #8 Data Path Design

2 ENG241/Lab #82 Lab Objectives  Understand the steps required for the data path design.  Design and Implement the Arithmetic Logic Unit of a simple CPU.  Understand the concept of modular and hierarchical design.  VHDL will be used throughout the LAB.

3 ENG241/Lab #83 Part 1 Arithmetic Circuit  Design and Implement 4 bits arithmetic unit.  Use the n-bit adder implemented in LAB 5.  Design the B-logic that select the operation.

4 ENG241/Lab #84 Part 2 Logic Circuit  Design the logic part of your data path.  Use 4 to 1 Multiplexer to select the required operation.

5 ENG241/Lab #85 Part 3 Data Path  Combine the arithmetic and logic circuits to build the data bath.  Use 2 to 1 Multiplexer to select the operation Arithmetic/Logic.

6 ENG241/Lab #86 Part 3 Data Path

7 ENG241/Lab #87 2 to 1 MUX in VHDL library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity mux_2_1 is port ( a,b : in std_logic_vector (3 downto 0); s : in std_logic; s : in std_logic; g : out std_logic); g : out std_logic); end mux_2_1; architecture behaviour of mux_2_1 is begin up: process (a,b,s) begin if s=‘0’ then g <= a; g <= a;else g <= b; g <= b; end if; end process; end behaviour;

8 ENG241/Lab #88 UCF File NET SW0 LOC = T10; //Slide switches NET SW1 LOC = T9; NET SW2 LOC = V9; NET SW3 LOC = M8; NET SW4 LOC = N8; NET SW5 LOC = U8; NET SW6 LOC = V8; NET SW7 LOC = T5; NET BTN1 LOC = P149; // Pushbutton switches NET LD0 LOC = U16; //LEDs NET LD1 LOC = V16; NET LD2 LOC = U15; NET LD3 LOC = V15; NET LD4 LOC = M11; NET LD5 LOC = N11; NET LD6 LOC = R11; NET LD7 LOC = T11;

9 Lab Report  Title Page – Group # and Names  Problem Statement  System Overview and Justification of Design  Block Diagram of the System  Circuit, Schematic  VHDL Code (Include COMMENTS!) Arithmetic Logic Unit Arithmetic Logic Unit  Simulation Waveform  Problems Encountered and Recommendation ENG241/Lab #79

10 Academic Misconduct  Reports and demos are submitted as a group, but it is a SINGLE group effort  You may talk with other groups but sharing codes or reports is NOT ALLOWED  Copying reports from previous years is also NOT ALLOWED  If we find copying we are REQUIRED to report it


Download ppt "ENG2410 Digital Design LAB #8 LAB #8 Data Path Design."

Similar presentations


Ads by Google